Merge branch 'i2c/for-next' of git://git.kernel.org/pub/scm/linux/kernel/git/wsa...
authorLinus Torvalds <torvalds@linux-foundation.org>
Mon, 18 Nov 2013 23:50:07 +0000 (15:50 -0800)
committerLinus Torvalds <torvalds@linux-foundation.org>
Mon, 18 Nov 2013 23:50:07 +0000 (15:50 -0800)
Pull i2c changes from Wolfram Sang:
 - new drivers for exynos5, bcm kona, and st micro
 - bigger overhauls for drivers mxs and rcar
 - typical driver bugfixes, cleanups, improvements
 - got rid of the superfluous 'driver' member in i2c_client struct This
   touches a few drivers in other subsystems.  All acked.

* 'i2c/for-next' of git://git.kernel.org/pub/scm/linux/kernel/git/wsa/linux: (38 commits)
  i2c: bcm-kona: fix error return code in bcm_kona_i2c_probe()
  i2c: i2c-eg20t: do not print error message in syslog if no ACK received
  i2c: bcm-kona: Introduce Broadcom I2C Driver
  i2c: cbus-gpio: Fix device tree binding
  i2c: wmt: add missing clk_disable_unprepare() on error
  i2c: designware: add new ACPI IDs
  i2c: i801: Add Device IDs for Intel Wildcat Point-LP PCH
  i2c: exynos5: Remove incorrect clk_disable_unprepare
  i2c: i2c-st: Add ST I2C controller
  i2c: exynos5: add High Speed I2C controller driver
  i2c: rcar: fixup rcar type naming
  i2c: scmi: remove some bogus NULL checks
  i2c: sh_mobile & rcar: Enable the driver on all ARM platforms
  i2c: sh_mobile: Convert to clk_prepare/unprepare
  i2c: mux: gpio: use reg value for i2c_add_mux_adapter
  i2c: mux: gpio: use gpio_set_value_cansleep()
  i2c: Include linux/of.h header
  i2c: mxs: Fix PIO mode on i.MX23
  i2c: mxs: Rework the PIO mode operation
  i2c: mxs: distinguish i.MX23 and i.MX28 based I2C controller
  ...

25 files changed:
1  2 
MAINTAINERS
arch/arm/mach-at91/board-sam9263ek.c
arch/arm/mach-davinci/board-da830-evm.c
arch/arm/mach-davinci/board-da850-evm.c
arch/arm/mach-davinci/board-dm365-evm.c
arch/arm/mach-davinci/board-dm644x-evm.c
arch/arm/mach-davinci/board-dm646x-evm.c
arch/arm/mach-imx/mach-pcm037.c
drivers/gpu/drm/nouveau/core/subdev/therm/ic.c
drivers/i2c/busses/i2c-bcm-kona.c
drivers/i2c/busses/i2c-davinci.c
drivers/i2c/busses/i2c-designware-platdrv.c
drivers/i2c/busses/i2c-exynos5.c
drivers/i2c/busses/i2c-mxs.c
drivers/i2c/busses/i2c-st.c
drivers/i2c/busses/i2c-wmt.c
drivers/i2c/i2c-core.c
drivers/i2c/muxes/i2c-arb-gpio-challenge.c
drivers/i2c/muxes/i2c-mux-gpio.c
drivers/i2c/muxes/i2c-mux-pinctrl.c
drivers/media/i2c/s5c73m3/s5c73m3-core.c
drivers/media/v4l2-core/tuner-core.c
drivers/misc/eeprom/at24.c
include/media/v4l2-common.h
sound/soc/fsl/imx-wm8962.c

diff --cc MAINTAINERS
Simple merge
Simple merge
Simple merge
index 0000000,eb1ce6e..036cf03
mode 000000,100644..100644
--- /dev/null
@@@ -1,0 -1,909 +1,909 @@@
 -      INIT_COMPLETION(dev->done);
+ /*
+  * Copyright (C) 2013 Broadcom Corporation
+  *
+  * This program is free software; you can redistribute it and/or
+  * modify it under the terms of the GNU General Public License as
+  * published by the Free Software Foundation version 2.
+  *
+  * This program is distributed "as is" WITHOUT ANY WARRANTY of any
+  * kind, whether express or implied; without even the implied warranty
+  * of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+  * GNU General Public License for more details.
+  */
+ #include <linux/device.h>
+ #include <linux/kernel.h>
+ #include <linux/module.h>
+ #include <linux/sched.h>
+ #include <linux/i2c.h>
+ #include <linux/interrupt.h>
+ #include <linux/platform_device.h>
+ #include <linux/clk.h>
+ #include <linux/io.h>
+ #include <linux/clk.h>
+ #include <linux/slab.h>
+ /* Hardware register offsets and field defintions */
+ #define CS_OFFSET                             0x00000020
+ #define CS_ACK_SHIFT                          3
+ #define CS_ACK_MASK                           0x00000008
+ #define CS_ACK_CMD_GEN_START                  0x00000000
+ #define CS_ACK_CMD_GEN_RESTART                        0x00000001
+ #define CS_CMD_SHIFT                          1
+ #define CS_CMD_CMD_NO_ACTION                  0x00000000
+ #define CS_CMD_CMD_START_RESTART              0x00000001
+ #define CS_CMD_CMD_STOP                               0x00000002
+ #define CS_EN_SHIFT                           0
+ #define CS_EN_CMD_ENABLE_BSC                  0x00000001
+ #define TIM_OFFSET                            0x00000024
+ #define TIM_PRESCALE_SHIFT                    6
+ #define TIM_P_SHIFT                           3
+ #define TIM_NO_DIV_SHIFT                      2
+ #define TIM_DIV_SHIFT                         0
+ #define DAT_OFFSET                            0x00000028
+ #define TOUT_OFFSET                           0x0000002c
+ #define TXFCR_OFFSET                          0x0000003c
+ #define TXFCR_FIFO_FLUSH_MASK                 0x00000080
+ #define TXFCR_FIFO_EN_MASK                    0x00000040
+ #define IER_OFFSET                            0x00000044
+ #define IER_READ_COMPLETE_INT_MASK            0x00000010
+ #define IER_I2C_INT_EN_MASK                   0x00000008
+ #define IER_FIFO_INT_EN_MASK                  0x00000002
+ #define IER_NOACK_EN_MASK                     0x00000001
+ #define ISR_OFFSET                            0x00000048
+ #define ISR_RESERVED_MASK                     0xffffff60
+ #define ISR_CMDBUSY_MASK                      0x00000080
+ #define ISR_READ_COMPLETE_MASK                        0x00000010
+ #define ISR_SES_DONE_MASK                     0x00000008
+ #define ISR_ERR_MASK                          0x00000004
+ #define ISR_TXFIFOEMPTY_MASK                  0x00000002
+ #define ISR_NOACK_MASK                                0x00000001
+ #define CLKEN_OFFSET                          0x0000004C
+ #define CLKEN_AUTOSENSE_OFF_MASK              0x00000080
+ #define CLKEN_M_SHIFT                         4
+ #define CLKEN_N_SHIFT                         1
+ #define CLKEN_CLKEN_MASK                      0x00000001
+ #define FIFO_STATUS_OFFSET                    0x00000054
+ #define FIFO_STATUS_RXFIFO_EMPTY_MASK         0x00000004
+ #define FIFO_STATUS_TXFIFO_EMPTY_MASK         0x00000010
+ #define HSTIM_OFFSET                          0x00000058
+ #define HSTIM_HS_MODE_MASK                    0x00008000
+ #define HSTIM_HS_HOLD_SHIFT                   10
+ #define HSTIM_HS_HIGH_PHASE_SHIFT             5
+ #define HSTIM_HS_SETUP_SHIFT                  0
+ #define PADCTL_OFFSET                         0x0000005c
+ #define PADCTL_PAD_OUT_EN_MASK                        0x00000004
+ #define RXFCR_OFFSET                          0x00000068
+ #define RXFCR_NACK_EN_SHIFT                   7
+ #define RXFCR_READ_COUNT_SHIFT                        0
+ #define RXFIFORDOUT_OFFSET                    0x0000006c
+ /* Locally used constants */
+ #define MAX_RX_FIFO_SIZE              64U /* bytes */
+ #define MAX_TX_FIFO_SIZE              64U /* bytes */
+ #define STD_EXT_CLK_FREQ              13000000UL
+ #define HS_EXT_CLK_FREQ                       104000000UL
+ #define MASTERCODE                    0x08 /* Mastercodes are 0000_1xxxb */
+ #define I2C_TIMEOUT                   100 /* msecs */
+ /* Operations that can be commanded to the controller */
+ enum bcm_kona_cmd_t {
+       BCM_CMD_NOACTION = 0,
+       BCM_CMD_START,
+       BCM_CMD_RESTART,
+       BCM_CMD_STOP,
+ };
+ enum bus_speed_index {
+       BCM_SPD_100K = 0,
+       BCM_SPD_400K,
+       BCM_SPD_1MHZ,
+ };
+ enum hs_bus_speed_index {
+       BCM_SPD_3P4MHZ = 0,
+ };
+ /* Internal divider settings for standard mode, fast mode and fast mode plus */
+ struct bus_speed_cfg {
+       uint8_t time_m;         /* Number of cycles for setup time */
+       uint8_t time_n;         /* Number of cycles for hold time */
+       uint8_t prescale;       /* Prescale divider */
+       uint8_t time_p;         /* Timing coefficient */
+       uint8_t no_div;         /* Disable clock divider */
+       uint8_t time_div;       /* Post-prescale divider */
+ };
+ /* Internal divider settings for high-speed mode */
+ struct hs_bus_speed_cfg {
+       uint8_t hs_hold;        /* Number of clock cycles SCL stays low until
+                                  the end of bit period */
+       uint8_t hs_high_phase;  /* Number of clock cycles SCL stays high
+                                  before it falls */
+       uint8_t hs_setup;       /* Number of clock cycles SCL stays low
+                                  before it rises  */
+       uint8_t prescale;       /* Prescale divider */
+       uint8_t time_p;         /* Timing coefficient */
+       uint8_t no_div;         /* Disable clock divider */
+       uint8_t time_div;       /* Post-prescale divider */
+ };
+ static const struct bus_speed_cfg std_cfg_table[] = {
+       [BCM_SPD_100K] = {0x01, 0x01, 0x03, 0x06, 0x00, 0x02},
+       [BCM_SPD_400K] = {0x05, 0x01, 0x03, 0x05, 0x01, 0x02},
+       [BCM_SPD_1MHZ] = {0x01, 0x01, 0x03, 0x01, 0x01, 0x03},
+ };
+ static const struct hs_bus_speed_cfg hs_cfg_table[] = {
+       [BCM_SPD_3P4MHZ] = {0x01, 0x08, 0x14, 0x00, 0x06, 0x01, 0x00},
+ };
+ struct bcm_kona_i2c_dev {
+       struct device *device;
+       void __iomem *base;
+       int irq;
+       struct clk *external_clk;
+       struct i2c_adapter adapter;
+       struct completion done;
+       const struct bus_speed_cfg *std_cfg;
+       const struct hs_bus_speed_cfg *hs_cfg;
+ };
+ static void bcm_kona_i2c_send_cmd_to_ctrl(struct bcm_kona_i2c_dev *dev,
+                                         enum bcm_kona_cmd_t cmd)
+ {
+       dev_dbg(dev->device, "%s, %d\n", __func__, cmd);
+       switch (cmd) {
+       case BCM_CMD_NOACTION:
+               writel((CS_CMD_CMD_NO_ACTION << CS_CMD_SHIFT) |
+                      (CS_EN_CMD_ENABLE_BSC << CS_EN_SHIFT),
+                      dev->base + CS_OFFSET);
+               break;
+       case BCM_CMD_START:
+               writel((CS_ACK_CMD_GEN_START << CS_ACK_SHIFT) |
+                      (CS_CMD_CMD_START_RESTART << CS_CMD_SHIFT) |
+                      (CS_EN_CMD_ENABLE_BSC << CS_EN_SHIFT),
+                      dev->base + CS_OFFSET);
+               break;
+       case BCM_CMD_RESTART:
+               writel((CS_ACK_CMD_GEN_RESTART << CS_ACK_SHIFT) |
+                      (CS_CMD_CMD_START_RESTART << CS_CMD_SHIFT) |
+                      (CS_EN_CMD_ENABLE_BSC << CS_EN_SHIFT),
+                      dev->base + CS_OFFSET);
+               break;
+       case BCM_CMD_STOP:
+               writel((CS_CMD_CMD_STOP << CS_CMD_SHIFT) |
+                      (CS_EN_CMD_ENABLE_BSC << CS_EN_SHIFT),
+                      dev->base + CS_OFFSET);
+               break;
+       default:
+               dev_err(dev->device, "Unknown command %d\n", cmd);
+       }
+ }
+ static void bcm_kona_i2c_enable_clock(struct bcm_kona_i2c_dev *dev)
+ {
+       writel(readl(dev->base + CLKEN_OFFSET) | CLKEN_CLKEN_MASK,
+              dev->base + CLKEN_OFFSET);
+ }
+ static void bcm_kona_i2c_disable_clock(struct bcm_kona_i2c_dev *dev)
+ {
+       writel(readl(dev->base + CLKEN_OFFSET) & ~CLKEN_CLKEN_MASK,
+              dev->base + CLKEN_OFFSET);
+ }
+ static irqreturn_t bcm_kona_i2c_isr(int irq, void *devid)
+ {
+       struct bcm_kona_i2c_dev *dev = devid;
+       uint32_t status = readl(dev->base + ISR_OFFSET);
+       if ((status & ~ISR_RESERVED_MASK) == 0)
+               return IRQ_NONE;
+       /* Must flush the TX FIFO when NAK detected */
+       if (status & ISR_NOACK_MASK)
+               writel(TXFCR_FIFO_FLUSH_MASK | TXFCR_FIFO_EN_MASK,
+                      dev->base + TXFCR_OFFSET);
+       writel(status & ~ISR_RESERVED_MASK, dev->base + ISR_OFFSET);
+       complete_all(&dev->done);
+       return IRQ_HANDLED;
+ }
+ /* Wait for ISR_CMDBUSY_MASK to go low before writing to CS, DAT, or RCD */
+ static int bcm_kona_i2c_wait_if_busy(struct bcm_kona_i2c_dev *dev)
+ {
+       unsigned long timeout = jiffies + msecs_to_jiffies(I2C_TIMEOUT);
+       while (readl(dev->base + ISR_OFFSET) & ISR_CMDBUSY_MASK)
+               if (time_after(jiffies, timeout)) {
+                       dev_err(dev->device, "CMDBUSY timeout\n");
+                       return -ETIMEDOUT;
+               }
+       return 0;
+ }
+ /* Send command to I2C bus */
+ static int bcm_kona_send_i2c_cmd(struct bcm_kona_i2c_dev *dev,
+                                enum bcm_kona_cmd_t cmd)
+ {
+       int rc;
+       unsigned long time_left = msecs_to_jiffies(I2C_TIMEOUT);
+       /* Make sure the hardware is ready */
+       rc = bcm_kona_i2c_wait_if_busy(dev);
+       if (rc < 0)
+               return rc;
+       /* Unmask the session done interrupt */
+       writel(IER_I2C_INT_EN_MASK, dev->base + IER_OFFSET);
+       /* Mark as incomplete before sending the command */
 -      INIT_COMPLETION(dev->done);
++      reinit_completion(&dev->done);
+       /* Send the command */
+       bcm_kona_i2c_send_cmd_to_ctrl(dev, cmd);
+       /* Wait for transaction to finish or timeout */
+       time_left = wait_for_completion_timeout(&dev->done, time_left);
+       /* Mask all interrupts */
+       writel(0, dev->base + IER_OFFSET);
+       if (!time_left) {
+               dev_err(dev->device, "controller timed out\n");
+               rc = -ETIMEDOUT;
+       }
+       /* Clear command */
+       bcm_kona_i2c_send_cmd_to_ctrl(dev, BCM_CMD_NOACTION);
+       return rc;
+ }
+ /* Read a single RX FIFO worth of data from the i2c bus */
+ static int bcm_kona_i2c_read_fifo_single(struct bcm_kona_i2c_dev *dev,
+                                        uint8_t *buf, unsigned int len,
+                                        unsigned int last_byte_nak)
+ {
+       unsigned long time_left = msecs_to_jiffies(I2C_TIMEOUT);
+       /* Mark as incomplete before starting the RX FIFO */
 -      INIT_COMPLETION(dev->done);
++      reinit_completion(&dev->done);
+       /* Unmask the read complete interrupt */
+       writel(IER_READ_COMPLETE_INT_MASK, dev->base + IER_OFFSET);
+       /* Start the RX FIFO */
+       writel((last_byte_nak << RXFCR_NACK_EN_SHIFT) |
+              (len << RXFCR_READ_COUNT_SHIFT),
+               dev->base + RXFCR_OFFSET);
+       /* Wait for FIFO read to complete */
+       time_left = wait_for_completion_timeout(&dev->done, time_left);
+       /* Mask all interrupts */
+       writel(0, dev->base + IER_OFFSET);
+       if (!time_left) {
+               dev_err(dev->device, "RX FIFO time out\n");
+               return -EREMOTEIO;
+       }
+       /* Read data from FIFO */
+       for (; len > 0; len--, buf++)
+               *buf = readl(dev->base + RXFIFORDOUT_OFFSET);
+       return 0;
+ }
+ /* Read any amount of data using the RX FIFO from the i2c bus */
+ static int bcm_kona_i2c_read_fifo(struct bcm_kona_i2c_dev *dev,
+                                 struct i2c_msg *msg)
+ {
+       unsigned int bytes_to_read = MAX_RX_FIFO_SIZE;
+       unsigned int last_byte_nak = 0;
+       unsigned int bytes_read = 0;
+       int rc;
+       uint8_t *tmp_buf = msg->buf;
+       while (bytes_read < msg->len) {
+               if (msg->len - bytes_read <= MAX_RX_FIFO_SIZE) {
+                       last_byte_nak = 1; /* NAK last byte of transfer */
+                       bytes_to_read = msg->len - bytes_read;
+               }
+               rc = bcm_kona_i2c_read_fifo_single(dev, tmp_buf, bytes_to_read,
+                                                  last_byte_nak);
+               if (rc < 0)
+                       return -EREMOTEIO;
+               bytes_read += bytes_to_read;
+               tmp_buf += bytes_to_read;
+       }
+       return 0;
+ }
+ /* Write a single byte of data to the i2c bus */
+ static int bcm_kona_i2c_write_byte(struct bcm_kona_i2c_dev *dev, uint8_t data,
+                                  unsigned int nak_expected)
+ {
+       int rc;
+       unsigned long time_left = msecs_to_jiffies(I2C_TIMEOUT);
+       unsigned int nak_received;
+       /* Make sure the hardware is ready */
+       rc = bcm_kona_i2c_wait_if_busy(dev);
+       if (rc < 0)
+               return rc;
+       /* Clear pending session done interrupt */
+       writel(ISR_SES_DONE_MASK, dev->base + ISR_OFFSET);
+       /* Unmask the session done interrupt */
+       writel(IER_I2C_INT_EN_MASK, dev->base + IER_OFFSET);
+       /* Mark as incomplete before sending the data */
 -      INIT_COMPLETION(dev->done);
++      reinit_completion(&dev->done);
+       /* Send one byte of data */
+       writel(data, dev->base + DAT_OFFSET);
+       /* Wait for byte to be written */
+       time_left = wait_for_completion_timeout(&dev->done, time_left);
+       /* Mask all interrupts */
+       writel(0, dev->base + IER_OFFSET);
+       if (!time_left) {
+               dev_dbg(dev->device, "controller timed out\n");
+               return -ETIMEDOUT;
+       }
+       nak_received = readl(dev->base + CS_OFFSET) & CS_ACK_MASK ? 1 : 0;
+       if (nak_received ^ nak_expected) {
+               dev_dbg(dev->device, "unexpected NAK/ACK\n");
+               return -EREMOTEIO;
+       }
+       return 0;
+ }
+ /* Write a single TX FIFO worth of data to the i2c bus */
+ static int bcm_kona_i2c_write_fifo_single(struct bcm_kona_i2c_dev *dev,
+                                         uint8_t *buf, unsigned int len)
+ {
+       int k;
+       unsigned long time_left = msecs_to_jiffies(I2C_TIMEOUT);
+       unsigned int fifo_status;
+       /* Mark as incomplete before sending data to the TX FIFO */
++      reinit_completion(&dev->done);
+       /* Unmask the fifo empty and nak interrupt */
+       writel(IER_FIFO_INT_EN_MASK | IER_NOACK_EN_MASK,
+              dev->base + IER_OFFSET);
+       /* Disable IRQ to load a FIFO worth of data without interruption */
+       disable_irq(dev->irq);
+       /* Write data into FIFO */
+       for (k = 0; k < len; k++)
+               writel(buf[k], (dev->base + DAT_OFFSET));
+       /* Enable IRQ now that data has been loaded */
+       enable_irq(dev->irq);
+       /* Wait for FIFO to empty */
+       do {
+               time_left = wait_for_completion_timeout(&dev->done, time_left);
+               fifo_status = readl(dev->base + FIFO_STATUS_OFFSET);
+       } while (time_left && !(fifo_status & FIFO_STATUS_TXFIFO_EMPTY_MASK));
+       /* Mask all interrupts */
+       writel(0, dev->base + IER_OFFSET);
+       /* Check if there was a NAK */
+       if (readl(dev->base + CS_OFFSET) & CS_ACK_MASK) {
+               dev_err(dev->device, "unexpected NAK\n");
+               return -EREMOTEIO;
+       }
+       /* Check if a timeout occured */
+       if (!time_left) {
+               dev_err(dev->device, "completion timed out\n");
+               return -EREMOTEIO;
+       }
+       return 0;
+ }
+ /* Write any amount of data using TX FIFO to the i2c bus */
+ static int bcm_kona_i2c_write_fifo(struct bcm_kona_i2c_dev *dev,
+                                  struct i2c_msg *msg)
+ {
+       unsigned int bytes_to_write = MAX_TX_FIFO_SIZE;
+       unsigned int bytes_written = 0;
+       int rc;
+       uint8_t *tmp_buf = msg->buf;
+       while (bytes_written < msg->len) {
+               if (msg->len - bytes_written <= MAX_TX_FIFO_SIZE)
+                       bytes_to_write = msg->len - bytes_written;
+               rc = bcm_kona_i2c_write_fifo_single(dev, tmp_buf,
+                                                   bytes_to_write);
+               if (rc < 0)
+                       return -EREMOTEIO;
+               bytes_written += bytes_to_write;
+               tmp_buf += bytes_to_write;
+       }
+       return 0;
+ }
+ /* Send i2c address */
+ static int bcm_kona_i2c_do_addr(struct bcm_kona_i2c_dev *dev,
+                                    struct i2c_msg *msg)
+ {
+       unsigned char addr;
+       if (msg->flags & I2C_M_TEN) {
+               /* First byte is 11110XX0 where XX is upper 2 bits */
+               addr = 0xF0 | ((msg->addr & 0x300) >> 7);
+               if (bcm_kona_i2c_write_byte(dev, addr, 0) < 0)
+                       return -EREMOTEIO;
+               /* Second byte is the remaining 8 bits */
+               addr = msg->addr & 0xFF;
+               if (bcm_kona_i2c_write_byte(dev, addr, 0) < 0)
+                       return -EREMOTEIO;
+               if (msg->flags & I2C_M_RD) {
+                       /* For read, send restart command */
+                       if (bcm_kona_send_i2c_cmd(dev, BCM_CMD_RESTART) < 0)
+                               return -EREMOTEIO;
+                       /* Then re-send the first byte with the read bit set */
+                       addr = 0xF0 | ((msg->addr & 0x300) >> 7) | 0x01;
+                       if (bcm_kona_i2c_write_byte(dev, addr, 0) < 0)
+                               return -EREMOTEIO;
+               }
+       } else {
+               addr = msg->addr << 1;
+               if (msg->flags & I2C_M_RD)
+                       addr |= 1;
+               if (bcm_kona_i2c_write_byte(dev, addr, 0) < 0)
+                       return -EREMOTEIO;
+       }
+       return 0;
+ }
+ static void bcm_kona_i2c_enable_autosense(struct bcm_kona_i2c_dev *dev)
+ {
+       writel(readl(dev->base + CLKEN_OFFSET) & ~CLKEN_AUTOSENSE_OFF_MASK,
+              dev->base + CLKEN_OFFSET);
+ }
+ static void bcm_kona_i2c_config_timing(struct bcm_kona_i2c_dev *dev)
+ {
+       writel(readl(dev->base + HSTIM_OFFSET) & ~HSTIM_HS_MODE_MASK,
+              dev->base + HSTIM_OFFSET);
+       writel((dev->std_cfg->prescale << TIM_PRESCALE_SHIFT) |
+              (dev->std_cfg->time_p << TIM_P_SHIFT) |
+              (dev->std_cfg->no_div << TIM_NO_DIV_SHIFT) |
+              (dev->std_cfg->time_div  << TIM_DIV_SHIFT),
+              dev->base + TIM_OFFSET);
+       writel((dev->std_cfg->time_m << CLKEN_M_SHIFT) |
+              (dev->std_cfg->time_n << CLKEN_N_SHIFT) |
+              CLKEN_CLKEN_MASK,
+              dev->base + CLKEN_OFFSET);
+ }
+ static void bcm_kona_i2c_config_timing_hs(struct bcm_kona_i2c_dev *dev)
+ {
+       writel((dev->hs_cfg->prescale << TIM_PRESCALE_SHIFT) |
+              (dev->hs_cfg->time_p << TIM_P_SHIFT) |
+              (dev->hs_cfg->no_div << TIM_NO_DIV_SHIFT) |
+              (dev->hs_cfg->time_div << TIM_DIV_SHIFT),
+              dev->base + TIM_OFFSET);
+       writel((dev->hs_cfg->hs_hold << HSTIM_HS_HOLD_SHIFT) |
+              (dev->hs_cfg->hs_high_phase << HSTIM_HS_HIGH_PHASE_SHIFT) |
+              (dev->hs_cfg->hs_setup << HSTIM_HS_SETUP_SHIFT),
+              dev->base + HSTIM_OFFSET);
+       writel(readl(dev->base + HSTIM_OFFSET) | HSTIM_HS_MODE_MASK,
+              dev->base + HSTIM_OFFSET);
+ }
+ static int bcm_kona_i2c_switch_to_hs(struct bcm_kona_i2c_dev *dev)
+ {
+       int rc;
+       /* Send mastercode at standard speed */
+       rc = bcm_kona_i2c_write_byte(dev, MASTERCODE, 1);
+       if (rc < 0) {
+               pr_err("High speed handshake failed\n");
+               return rc;
+       }
+       /* Configure external clock to higher frequency */
+       rc = clk_set_rate(dev->external_clk, HS_EXT_CLK_FREQ);
+       if (rc) {
+               dev_err(dev->device, "%s: clk_set_rate returned %d\n",
+                       __func__, rc);
+               return rc;
+       }
+       /* Reconfigure internal dividers */
+       bcm_kona_i2c_config_timing_hs(dev);
+       /* Send a restart command */
+       rc = bcm_kona_send_i2c_cmd(dev, BCM_CMD_RESTART);
+       if (rc < 0)
+               dev_err(dev->device, "High speed restart command failed\n");
+       return rc;
+ }
+ static int bcm_kona_i2c_switch_to_std(struct bcm_kona_i2c_dev *dev)
+ {
+       int rc;
+       /* Reconfigure internal dividers */
+       bcm_kona_i2c_config_timing(dev);
+       /* Configure external clock to lower frequency */
+       rc = clk_set_rate(dev->external_clk, STD_EXT_CLK_FREQ);
+       if (rc) {
+               dev_err(dev->device, "%s: clk_set_rate returned %d\n",
+                       __func__, rc);
+       }
+       return rc;
+ }
+ /* Master transfer function */
+ static int bcm_kona_i2c_xfer(struct i2c_adapter *adapter,
+                            struct i2c_msg msgs[], int num)
+ {
+       struct bcm_kona_i2c_dev *dev = i2c_get_adapdata(adapter);
+       struct i2c_msg *pmsg;
+       int rc = 0;
+       int i;
+       rc = clk_prepare_enable(dev->external_clk);
+       if (rc) {
+               dev_err(dev->device, "%s: peri clock enable failed. err %d\n",
+                       __func__, rc);
+               return rc;
+       }
+       /* Enable pad output */
+       writel(0, dev->base + PADCTL_OFFSET);
+       /* Enable internal clocks */
+       bcm_kona_i2c_enable_clock(dev);
+       /* Send start command */
+       rc = bcm_kona_send_i2c_cmd(dev, BCM_CMD_START);
+       if (rc < 0) {
+               dev_err(dev->device, "Start command failed rc = %d\n", rc);
+               goto xfer_disable_pad;
+       }
+       /* Switch to high speed if applicable */
+       if (dev->hs_cfg) {
+               rc = bcm_kona_i2c_switch_to_hs(dev);
+               if (rc < 0)
+                       goto xfer_send_stop;
+       }
+       /* Loop through all messages */
+       for (i = 0; i < num; i++) {
+               pmsg = &msgs[i];
+               /* Send restart for subsequent messages */
+               if ((i != 0) && ((pmsg->flags & I2C_M_NOSTART) == 0)) {
+                       rc = bcm_kona_send_i2c_cmd(dev, BCM_CMD_RESTART);
+                       if (rc < 0) {
+                               dev_err(dev->device,
+                                       "restart cmd failed rc = %d\n", rc);
+                                       goto xfer_send_stop;
+                       }
+               }
+               /* Send slave address */
+               if (!(pmsg->flags & I2C_M_NOSTART)) {
+                       rc = bcm_kona_i2c_do_addr(dev, pmsg);
+                       if (rc < 0) {
+                               dev_err(dev->device,
+                                       "NAK from addr %2.2x msg#%d rc = %d\n",
+                                       pmsg->addr, i, rc);
+                               goto xfer_send_stop;
+                       }
+               }
+               /* Perform data transfer */
+               if (pmsg->flags & I2C_M_RD) {
+                       rc = bcm_kona_i2c_read_fifo(dev, pmsg);
+                       if (rc < 0) {
+                               dev_err(dev->device, "read failure\n");
+                               goto xfer_send_stop;
+                       }
+               } else {
+                       rc = bcm_kona_i2c_write_fifo(dev, pmsg);
+                       if (rc < 0) {
+                               dev_err(dev->device, "write failure");
+                               goto xfer_send_stop;
+                       }
+               }
+       }
+       rc = num;
+ xfer_send_stop:
+       /* Send a STOP command */
+       bcm_kona_send_i2c_cmd(dev, BCM_CMD_STOP);
+       /* Return from high speed if applicable */
+       if (dev->hs_cfg) {
+               int hs_rc = bcm_kona_i2c_switch_to_std(dev);
+               if (hs_rc)
+                       rc = hs_rc;
+       }
+ xfer_disable_pad:
+       /* Disable pad output */
+       writel(PADCTL_PAD_OUT_EN_MASK, dev->base + PADCTL_OFFSET);
+       /* Stop internal clock */
+       bcm_kona_i2c_disable_clock(dev);
+       clk_disable_unprepare(dev->external_clk);
+       return rc;
+ }
+ static uint32_t bcm_kona_i2c_functionality(struct i2c_adapter *adap)
+ {
+       return I2C_FUNC_I2C | I2C_FUNC_SMBUS_EMUL | I2C_FUNC_10BIT_ADDR |
+           I2C_FUNC_NOSTART;
+ }
+ static const struct i2c_algorithm bcm_algo = {
+       .master_xfer = bcm_kona_i2c_xfer,
+       .functionality = bcm_kona_i2c_functionality,
+ };
+ static int bcm_kona_i2c_assign_bus_speed(struct bcm_kona_i2c_dev *dev)
+ {
+       unsigned int bus_speed;
+       int ret = of_property_read_u32(dev->device->of_node, "clock-frequency",
+                                      &bus_speed);
+       if (ret < 0) {
+               dev_err(dev->device, "missing clock-frequency property\n");
+               return -ENODEV;
+       }
+       switch (bus_speed) {
+       case 100000:
+               dev->std_cfg = &std_cfg_table[BCM_SPD_100K];
+               break;
+       case 400000:
+               dev->std_cfg = &std_cfg_table[BCM_SPD_400K];
+               break;
+       case 1000000:
+               dev->std_cfg = &std_cfg_table[BCM_SPD_1MHZ];
+               break;
+       case 3400000:
+               /* Send mastercode at 100k */
+               dev->std_cfg = &std_cfg_table[BCM_SPD_100K];
+               dev->hs_cfg = &hs_cfg_table[BCM_SPD_3P4MHZ];
+               break;
+       default:
+               pr_err("%d hz bus speed not supported\n", bus_speed);
+               pr_err("Valid speeds are 100khz, 400khz, 1mhz, and 3.4mhz\n");
+               return -EINVAL;
+       }
+       return 0;
+ }
+ static int bcm_kona_i2c_probe(struct platform_device *pdev)
+ {
+       int rc = 0;
+       struct bcm_kona_i2c_dev *dev;
+       struct i2c_adapter *adap;
+       struct resource *iomem;
+       /* Allocate memory for private data structure */
+       dev = devm_kzalloc(&pdev->dev, sizeof(*dev), GFP_KERNEL);
+       if (!dev)
+               return -ENOMEM;
+       platform_set_drvdata(pdev, dev);
+       dev->device = &pdev->dev;
+       init_completion(&dev->done);
+       /* Map hardware registers */
+       iomem = platform_get_resource(pdev, IORESOURCE_MEM, 0);
+       dev->base = devm_ioremap_resource(dev->device, iomem);
+       if (IS_ERR(dev->base))
+               return -ENOMEM;
+       /* Get and enable external clock */
+       dev->external_clk = devm_clk_get(dev->device, NULL);
+       if (IS_ERR(dev->external_clk)) {
+               dev_err(dev->device, "couldn't get clock\n");
+               return -ENODEV;
+       }
+       rc = clk_set_rate(dev->external_clk, STD_EXT_CLK_FREQ);
+       if (rc) {
+               dev_err(dev->device, "%s: clk_set_rate returned %d\n",
+                       __func__, rc);
+               return rc;
+       }
+       rc = clk_prepare_enable(dev->external_clk);
+       if (rc) {
+               dev_err(dev->device, "couldn't enable clock\n");
+               return rc;
+       }
+       /* Parse bus speed */
+       rc = bcm_kona_i2c_assign_bus_speed(dev);
+       if (rc)
+               goto probe_disable_clk;
+       /* Enable internal clocks */
+       bcm_kona_i2c_enable_clock(dev);
+       /* Configure internal dividers */
+       bcm_kona_i2c_config_timing(dev);
+       /* Disable timeout */
+       writel(0, dev->base + TOUT_OFFSET);
+       /* Enable autosense */
+       bcm_kona_i2c_enable_autosense(dev);
+       /* Enable TX FIFO */
+       writel(TXFCR_FIFO_FLUSH_MASK | TXFCR_FIFO_EN_MASK,
+              dev->base + TXFCR_OFFSET);
+       /* Mask all interrupts */
+       writel(0, dev->base + IER_OFFSET);
+       /* Clear all pending interrupts */
+       writel(ISR_CMDBUSY_MASK |
+              ISR_READ_COMPLETE_MASK |
+              ISR_SES_DONE_MASK |
+              ISR_ERR_MASK |
+              ISR_TXFIFOEMPTY_MASK |
+              ISR_NOACK_MASK,
+              dev->base + ISR_OFFSET);
+       /* Get the interrupt number */
+       dev->irq = platform_get_irq(pdev, 0);
+       if (dev->irq < 0) {
+               dev_err(dev->device, "no irq resource\n");
+               rc = -ENODEV;
+               goto probe_disable_clk;
+       }
+       /* register the ISR handler */
+       rc = devm_request_irq(&pdev->dev, dev->irq, bcm_kona_i2c_isr,
+                             IRQF_SHARED, pdev->name, dev);
+       if (rc) {
+               dev_err(dev->device, "failed to request irq %i\n", dev->irq);
+               goto probe_disable_clk;
+       }
+       /* Enable the controller but leave it idle */
+       bcm_kona_i2c_send_cmd_to_ctrl(dev, BCM_CMD_NOACTION);
+       /* Disable pad output */
+       writel(PADCTL_PAD_OUT_EN_MASK, dev->base + PADCTL_OFFSET);
+       /* Disable internal clock */
+       bcm_kona_i2c_disable_clock(dev);
+       /* Disable external clock */
+       clk_disable_unprepare(dev->external_clk);
+       /* Add the i2c adapter */
+       adap = &dev->adapter;
+       i2c_set_adapdata(adap, dev);
+       adap->owner = THIS_MODULE;
+       strlcpy(adap->name, "Broadcom I2C adapter", sizeof(adap->name));
+       adap->algo = &bcm_algo;
+       adap->dev.parent = &pdev->dev;
+       adap->dev.of_node = pdev->dev.of_node;
+       rc = i2c_add_adapter(adap);
+       if (rc) {
+               dev_err(dev->device, "failed to add adapter\n");
+               return rc;
+       }
+       dev_info(dev->device, "device registered successfully\n");
+       return 0;
+ probe_disable_clk:
+       bcm_kona_i2c_disable_clock(dev);
+       clk_disable_unprepare(dev->external_clk);
+       return rc;
+ }
+ static int bcm_kona_i2c_remove(struct platform_device *pdev)
+ {
+       struct bcm_kona_i2c_dev *dev = platform_get_drvdata(pdev);
+       i2c_del_adapter(&dev->adapter);
+       return 0;
+ }
+ static const struct of_device_id bcm_kona_i2c_of_match[] = {
+       {.compatible = "brcm,kona-i2c",},
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, kona_i2c_of_match);
+ static struct platform_driver bcm_kona_i2c_driver = {
+       .driver = {
+                  .name = "bcm-kona-i2c",
+                  .owner = THIS_MODULE,
+                  .of_match_table = bcm_kona_i2c_of_match,
+                  },
+       .probe = bcm_kona_i2c_probe,
+       .remove = bcm_kona_i2c_remove,
+ };
+ module_platform_driver(bcm_kona_i2c_driver);
+ MODULE_AUTHOR("Tim Kryger <tkryger@broadcom.com>");
+ MODULE_DESCRIPTION("Broadcom Kona I2C Driver");
+ MODULE_LICENSE("GPL v2");
Simple merge
index 0000000,da39ff0..c1ef228
mode 000000,100644..100644
--- /dev/null
@@@ -1,0 -1,769 +1,769 @@@
 -      INIT_COMPLETION(i2c->msg_complete);
+ /**
+  * i2c-exynos5.c - Samsung Exynos5 I2C Controller Driver
+  *
+  * Copyright (C) 2013 Samsung Electronics Co., Ltd.
+  *
+  * This program is free software; you can redistribute it and/or modify
+  * it under the terms of the GNU General Public License version 2 as
+  * published by the Free Software Foundation.
+ */
+ #include <linux/kernel.h>
+ #include <linux/module.h>
+ #include <linux/i2c.h>
+ #include <linux/init.h>
+ #include <linux/time.h>
+ #include <linux/interrupt.h>
+ #include <linux/delay.h>
+ #include <linux/errno.h>
+ #include <linux/err.h>
+ #include <linux/platform_device.h>
+ #include <linux/clk.h>
+ #include <linux/slab.h>
+ #include <linux/io.h>
+ #include <linux/of_address.h>
+ #include <linux/of_irq.h>
+ #include <linux/spinlock.h>
+ /*
+  * HSI2C controller from Samsung supports 2 modes of operation
+  * 1. Auto mode: Where in master automatically controls the whole transaction
+  * 2. Manual mode: Software controls the transaction by issuing commands
+  *    START, READ, WRITE, STOP, RESTART in I2C_MANUAL_CMD register.
+  *
+  * Operation mode can be selected by setting AUTO_MODE bit in I2C_CONF register
+  *
+  * Special bits are available for both modes of operation to set commands
+  * and for checking transfer status
+  */
+ /* Register Map */
+ #define HSI2C_CTL             0x00
+ #define HSI2C_FIFO_CTL                0x04
+ #define HSI2C_TRAILIG_CTL     0x08
+ #define HSI2C_CLK_CTL         0x0C
+ #define HSI2C_CLK_SLOT                0x10
+ #define HSI2C_INT_ENABLE      0x20
+ #define HSI2C_INT_STATUS      0x24
+ #define HSI2C_ERR_STATUS      0x2C
+ #define HSI2C_FIFO_STATUS     0x30
+ #define HSI2C_TX_DATA         0x34
+ #define HSI2C_RX_DATA         0x38
+ #define HSI2C_CONF            0x40
+ #define HSI2C_AUTO_CONF               0x44
+ #define HSI2C_TIMEOUT         0x48
+ #define HSI2C_MANUAL_CMD      0x4C
+ #define HSI2C_TRANS_STATUS    0x50
+ #define HSI2C_TIMING_HS1      0x54
+ #define HSI2C_TIMING_HS2      0x58
+ #define HSI2C_TIMING_HS3      0x5C
+ #define HSI2C_TIMING_FS1      0x60
+ #define HSI2C_TIMING_FS2      0x64
+ #define HSI2C_TIMING_FS3      0x68
+ #define HSI2C_TIMING_SLA      0x6C
+ #define HSI2C_ADDR            0x70
+ /* I2C_CTL Register bits */
+ #define HSI2C_FUNC_MODE_I2C                   (1u << 0)
+ #define HSI2C_MASTER                          (1u << 3)
+ #define HSI2C_RXCHON                          (1u << 6)
+ #define HSI2C_TXCHON                          (1u << 7)
+ #define HSI2C_SW_RST                          (1u << 31)
+ /* I2C_FIFO_CTL Register bits */
+ #define HSI2C_RXFIFO_EN                               (1u << 0)
+ #define HSI2C_TXFIFO_EN                               (1u << 1)
+ #define HSI2C_RXFIFO_TRIGGER_LEVEL(x)         ((x) << 4)
+ #define HSI2C_TXFIFO_TRIGGER_LEVEL(x)         ((x) << 16)
+ /* As per user manual FIFO max depth is 64bytes */
+ #define HSI2C_FIFO_MAX                                0x40
+ /* default trigger levels for Tx and Rx FIFOs */
+ #define HSI2C_DEF_TXFIFO_LVL                  (HSI2C_FIFO_MAX - 0x30)
+ #define HSI2C_DEF_RXFIFO_LVL                  (HSI2C_FIFO_MAX - 0x10)
+ /* I2C_TRAILING_CTL Register bits */
+ #define HSI2C_TRAILING_COUNT                  (0xf)
+ /* I2C_INT_EN Register bits */
+ #define HSI2C_INT_TX_ALMOSTEMPTY_EN           (1u << 0)
+ #define HSI2C_INT_RX_ALMOSTFULL_EN            (1u << 1)
+ #define HSI2C_INT_TRAILING_EN                 (1u << 6)
+ #define HSI2C_INT_I2C_EN                      (1u << 9)
+ /* I2C_INT_STAT Register bits */
+ #define HSI2C_INT_TX_ALMOSTEMPTY              (1u << 0)
+ #define HSI2C_INT_RX_ALMOSTFULL                       (1u << 1)
+ #define HSI2C_INT_TX_UNDERRUN                 (1u << 2)
+ #define HSI2C_INT_TX_OVERRUN                  (1u << 3)
+ #define HSI2C_INT_RX_UNDERRUN                 (1u << 4)
+ #define HSI2C_INT_RX_OVERRUN                  (1u << 5)
+ #define HSI2C_INT_TRAILING                    (1u << 6)
+ #define HSI2C_INT_I2C                         (1u << 9)
+ /* I2C_FIFO_STAT Register bits */
+ #define HSI2C_RX_FIFO_EMPTY                   (1u << 24)
+ #define HSI2C_RX_FIFO_FULL                    (1u << 23)
+ #define HSI2C_RX_FIFO_LVL(x)                  ((x >> 16) & 0x7f)
+ #define HSI2C_TX_FIFO_EMPTY                   (1u << 8)
+ #define HSI2C_TX_FIFO_FULL                    (1u << 7)
+ #define HSI2C_TX_FIFO_LVL(x)                  ((x >> 0) & 0x7f)
+ /* I2C_CONF Register bits */
+ #define HSI2C_AUTO_MODE                               (1u << 31)
+ #define HSI2C_10BIT_ADDR_MODE                 (1u << 30)
+ #define HSI2C_HS_MODE                         (1u << 29)
+ /* I2C_AUTO_CONF Register bits */
+ #define HSI2C_READ_WRITE                      (1u << 16)
+ #define HSI2C_STOP_AFTER_TRANS                        (1u << 17)
+ #define HSI2C_MASTER_RUN                      (1u << 31)
+ /* I2C_TIMEOUT Register bits */
+ #define HSI2C_TIMEOUT_EN                      (1u << 31)
+ #define HSI2C_TIMEOUT_MASK                    0xff
+ /* I2C_TRANS_STATUS register bits */
+ #define HSI2C_MASTER_BUSY                     (1u << 17)
+ #define HSI2C_SLAVE_BUSY                      (1u << 16)
+ #define HSI2C_TIMEOUT_AUTO                    (1u << 4)
+ #define HSI2C_NO_DEV                          (1u << 3)
+ #define HSI2C_NO_DEV_ACK                      (1u << 2)
+ #define HSI2C_TRANS_ABORT                     (1u << 1)
+ #define HSI2C_TRANS_DONE                      (1u << 0)
+ /* I2C_ADDR register bits */
+ #define HSI2C_SLV_ADDR_SLV(x)                 ((x & 0x3ff) << 0)
+ #define HSI2C_SLV_ADDR_MAS(x)                 ((x & 0x3ff) << 10)
+ #define HSI2C_MASTER_ID(x)                    ((x & 0xff) << 24)
+ #define MASTER_ID(x)                          ((x & 0x7) + 0x08)
+ /*
+  * Controller operating frequency, timing values for operation
+  * are calculated against this frequency
+  */
+ #define HSI2C_HS_TX_CLOCK     1000000
+ #define HSI2C_FS_TX_CLOCK     100000
+ #define HSI2C_HIGH_SPD                1
+ #define HSI2C_FAST_SPD                0
+ #define EXYNOS5_I2C_TIMEOUT (msecs_to_jiffies(1000))
+ struct exynos5_i2c {
+       struct i2c_adapter      adap;
+       unsigned int            suspended:1;
+       struct i2c_msg          *msg;
+       struct completion       msg_complete;
+       unsigned int            msg_ptr;
+       unsigned int            irq;
+       void __iomem            *regs;
+       struct clk              *clk;
+       struct device           *dev;
+       int                     state;
+       spinlock_t              lock;           /* IRQ synchronization */
+       /*
+        * Since the TRANS_DONE bit is cleared on read, and we may read it
+        * either during an IRQ or after a transaction, keep track of its
+        * state here.
+        */
+       int                     trans_done;
+       /* Controller operating frequency */
+       unsigned int            fs_clock;
+       unsigned int            hs_clock;
+       /*
+        * HSI2C Controller can operate in
+        * 1. High speed upto 3.4Mbps
+        * 2. Fast speed upto 1Mbps
+        */
+       int                     speed_mode;
+ };
+ static const struct of_device_id exynos5_i2c_match[] = {
+       { .compatible = "samsung,exynos5-hsi2c" },
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, exynos5_i2c_match);
+ static void exynos5_i2c_clr_pend_irq(struct exynos5_i2c *i2c)
+ {
+       writel(readl(i2c->regs + HSI2C_INT_STATUS),
+                               i2c->regs + HSI2C_INT_STATUS);
+ }
+ /*
+  * exynos5_i2c_set_timing: updates the registers with appropriate
+  * timing values calculated
+  *
+  * Returns 0 on success, -EINVAL if the cycle length cannot
+  * be calculated.
+  */
+ static int exynos5_i2c_set_timing(struct exynos5_i2c *i2c, int mode)
+ {
+       u32 i2c_timing_s1;
+       u32 i2c_timing_s2;
+       u32 i2c_timing_s3;
+       u32 i2c_timing_sla;
+       unsigned int t_start_su, t_start_hd;
+       unsigned int t_stop_su;
+       unsigned int t_data_su, t_data_hd;
+       unsigned int t_scl_l, t_scl_h;
+       unsigned int t_sr_release;
+       unsigned int t_ftl_cycle;
+       unsigned int clkin = clk_get_rate(i2c->clk);
+       unsigned int div, utemp0 = 0, utemp1 = 0, clk_cycle;
+       unsigned int op_clk = (mode == HSI2C_HIGH_SPD) ?
+                               i2c->hs_clock : i2c->fs_clock;
+       /*
+        * FPCLK / FI2C =
+        * (CLK_DIV + 1) * (TSCLK_L + TSCLK_H + 2) + 8 + 2 * FLT_CYCLE
+        * utemp0 = (CLK_DIV + 1) * (TSCLK_L + TSCLK_H + 2)
+        * utemp1 = (TSCLK_L + TSCLK_H + 2)
+        */
+       t_ftl_cycle = (readl(i2c->regs + HSI2C_CONF) >> 16) & 0x7;
+       utemp0 = (clkin / op_clk) - 8 - 2 * t_ftl_cycle;
+       /* CLK_DIV max is 256 */
+       for (div = 0; div < 256; div++) {
+               utemp1 = utemp0 / (div + 1);
+               /*
+                * SCL_L and SCL_H each has max value of 255
+                * Hence, For the clk_cycle to the have right value
+                * utemp1 has to be less then 512 and more than 4.
+                */
+               if ((utemp1 < 512) && (utemp1 > 4)) {
+                       clk_cycle = utemp1 - 2;
+                       break;
+               } else if (div == 255) {
+                       dev_warn(i2c->dev, "Failed to calculate divisor");
+                       return -EINVAL;
+               }
+       }
+       t_scl_l = clk_cycle / 2;
+       t_scl_h = clk_cycle / 2;
+       t_start_su = t_scl_l;
+       t_start_hd = t_scl_l;
+       t_stop_su = t_scl_l;
+       t_data_su = t_scl_l / 2;
+       t_data_hd = t_scl_l / 2;
+       t_sr_release = clk_cycle;
+       i2c_timing_s1 = t_start_su << 24 | t_start_hd << 16 | t_stop_su << 8;
+       i2c_timing_s2 = t_data_su << 24 | t_scl_l << 8 | t_scl_h << 0;
+       i2c_timing_s3 = div << 16 | t_sr_release << 0;
+       i2c_timing_sla = t_data_hd << 0;
+       dev_dbg(i2c->dev, "tSTART_SU: %X, tSTART_HD: %X, tSTOP_SU: %X\n",
+               t_start_su, t_start_hd, t_stop_su);
+       dev_dbg(i2c->dev, "tDATA_SU: %X, tSCL_L: %X, tSCL_H: %X\n",
+               t_data_su, t_scl_l, t_scl_h);
+       dev_dbg(i2c->dev, "nClkDiv: %X, tSR_RELEASE: %X\n",
+               div, t_sr_release);
+       dev_dbg(i2c->dev, "tDATA_HD: %X\n", t_data_hd);
+       if (mode == HSI2C_HIGH_SPD) {
+               writel(i2c_timing_s1, i2c->regs + HSI2C_TIMING_HS1);
+               writel(i2c_timing_s2, i2c->regs + HSI2C_TIMING_HS2);
+               writel(i2c_timing_s3, i2c->regs + HSI2C_TIMING_HS3);
+       } else {
+               writel(i2c_timing_s1, i2c->regs + HSI2C_TIMING_FS1);
+               writel(i2c_timing_s2, i2c->regs + HSI2C_TIMING_FS2);
+               writel(i2c_timing_s3, i2c->regs + HSI2C_TIMING_FS3);
+       }
+       writel(i2c_timing_sla, i2c->regs + HSI2C_TIMING_SLA);
+       return 0;
+ }
+ static int exynos5_hsi2c_clock_setup(struct exynos5_i2c *i2c)
+ {
+       /*
+        * Configure the Fast speed timing values
+        * Even the High Speed mode initially starts with Fast mode
+        */
+       if (exynos5_i2c_set_timing(i2c, HSI2C_FAST_SPD)) {
+               dev_err(i2c->dev, "HSI2C FS Clock set up failed\n");
+               return -EINVAL;
+       }
+       /* configure the High speed timing values */
+       if (i2c->speed_mode == HSI2C_HIGH_SPD) {
+               if (exynos5_i2c_set_timing(i2c, HSI2C_HIGH_SPD)) {
+                       dev_err(i2c->dev, "HSI2C HS Clock set up failed\n");
+                       return -EINVAL;
+               }
+       }
+       return 0;
+ }
+ /*
+  * exynos5_i2c_init: configures the controller for I2C functionality
+  * Programs I2C controller for Master mode operation
+  */
+ static void exynos5_i2c_init(struct exynos5_i2c *i2c)
+ {
+       u32 i2c_conf = readl(i2c->regs + HSI2C_CONF);
+       u32 i2c_timeout = readl(i2c->regs + HSI2C_TIMEOUT);
+       /* Clear to disable Timeout */
+       i2c_timeout &= ~HSI2C_TIMEOUT_EN;
+       writel(i2c_timeout, i2c->regs + HSI2C_TIMEOUT);
+       writel((HSI2C_FUNC_MODE_I2C | HSI2C_MASTER),
+                                       i2c->regs + HSI2C_CTL);
+       writel(HSI2C_TRAILING_COUNT, i2c->regs + HSI2C_TRAILIG_CTL);
+       if (i2c->speed_mode == HSI2C_HIGH_SPD) {
+               writel(HSI2C_MASTER_ID(MASTER_ID(i2c->adap.nr)),
+                                       i2c->regs + HSI2C_ADDR);
+               i2c_conf |= HSI2C_HS_MODE;
+       }
+       writel(i2c_conf | HSI2C_AUTO_MODE, i2c->regs + HSI2C_CONF);
+ }
+ static void exynos5_i2c_reset(struct exynos5_i2c *i2c)
+ {
+       u32 i2c_ctl;
+       /* Set and clear the bit for reset */
+       i2c_ctl = readl(i2c->regs + HSI2C_CTL);
+       i2c_ctl |= HSI2C_SW_RST;
+       writel(i2c_ctl, i2c->regs + HSI2C_CTL);
+       i2c_ctl = readl(i2c->regs + HSI2C_CTL);
+       i2c_ctl &= ~HSI2C_SW_RST;
+       writel(i2c_ctl, i2c->regs + HSI2C_CTL);
+       /* We don't expect calculations to fail during the run */
+       exynos5_hsi2c_clock_setup(i2c);
+       /* Initialize the configure registers */
+       exynos5_i2c_init(i2c);
+ }
+ /*
+  * exynos5_i2c_irq: top level IRQ servicing routine
+  *
+  * INT_STATUS registers gives the interrupt details. Further,
+  * FIFO_STATUS or TRANS_STATUS registers are to be check for detailed
+  * state of the bus.
+  */
+ static irqreturn_t exynos5_i2c_irq(int irqno, void *dev_id)
+ {
+       struct exynos5_i2c *i2c = dev_id;
+       u32 fifo_level, int_status, fifo_status, trans_status;
+       unsigned char byte;
+       int len = 0;
+       i2c->state = -EINVAL;
+       spin_lock(&i2c->lock);
+       int_status = readl(i2c->regs + HSI2C_INT_STATUS);
+       writel(int_status, i2c->regs + HSI2C_INT_STATUS);
+       fifo_status = readl(i2c->regs + HSI2C_FIFO_STATUS);
+       /* handle interrupt related to the transfer status */
+       if (int_status & HSI2C_INT_I2C) {
+               trans_status = readl(i2c->regs + HSI2C_TRANS_STATUS);
+               if (trans_status & HSI2C_NO_DEV_ACK) {
+                       dev_dbg(i2c->dev, "No ACK from device\n");
+                       i2c->state = -ENXIO;
+                       goto stop;
+               } else if (trans_status & HSI2C_NO_DEV) {
+                       dev_dbg(i2c->dev, "No device\n");
+                       i2c->state = -ENXIO;
+                       goto stop;
+               } else if (trans_status & HSI2C_TRANS_ABORT) {
+                       dev_dbg(i2c->dev, "Deal with arbitration lose\n");
+                       i2c->state = -EAGAIN;
+                       goto stop;
+               } else if (trans_status & HSI2C_TIMEOUT_AUTO) {
+                       dev_dbg(i2c->dev, "Accessing device timed out\n");
+                       i2c->state = -EAGAIN;
+                       goto stop;
+               } else if (trans_status & HSI2C_TRANS_DONE) {
+                       i2c->trans_done = 1;
+                       i2c->state = 0;
+               }
+       }
+       if ((i2c->msg->flags & I2C_M_RD) && (int_status &
+                       (HSI2C_INT_TRAILING | HSI2C_INT_RX_ALMOSTFULL))) {
+               fifo_status = readl(i2c->regs + HSI2C_FIFO_STATUS);
+               fifo_level = HSI2C_RX_FIFO_LVL(fifo_status);
+               len = min(fifo_level, i2c->msg->len - i2c->msg_ptr);
+               while (len > 0) {
+                       byte = (unsigned char)
+                               readl(i2c->regs + HSI2C_RX_DATA);
+                       i2c->msg->buf[i2c->msg_ptr++] = byte;
+                       len--;
+               }
+               i2c->state = 0;
+       } else if (int_status & HSI2C_INT_TX_ALMOSTEMPTY) {
+               fifo_status = readl(i2c->regs + HSI2C_FIFO_STATUS);
+               fifo_level = HSI2C_TX_FIFO_LVL(fifo_status);
+               len = HSI2C_FIFO_MAX - fifo_level;
+               if (len > (i2c->msg->len - i2c->msg_ptr))
+                       len = i2c->msg->len - i2c->msg_ptr;
+               while (len > 0) {
+                       byte = i2c->msg->buf[i2c->msg_ptr++];
+                       writel(byte, i2c->regs + HSI2C_TX_DATA);
+                       len--;
+               }
+               i2c->state = 0;
+       }
+  stop:
+       if ((i2c->trans_done && (i2c->msg->len == i2c->msg_ptr)) ||
+           (i2c->state < 0)) {
+               writel(0, i2c->regs + HSI2C_INT_ENABLE);
+               exynos5_i2c_clr_pend_irq(i2c);
+               complete(&i2c->msg_complete);
+       }
+       spin_unlock(&i2c->lock);
+       return IRQ_HANDLED;
+ }
+ /*
+  * exynos5_i2c_wait_bus_idle
+  *
+  * Wait for the bus to go idle, indicated by the MASTER_BUSY bit being
+  * cleared.
+  *
+  * Returns -EBUSY if the bus cannot be bought to idle
+  */
+ static int exynos5_i2c_wait_bus_idle(struct exynos5_i2c *i2c)
+ {
+       unsigned long stop_time;
+       u32 trans_status;
+       /* wait for 100 milli seconds for the bus to be idle */
+       stop_time = jiffies + msecs_to_jiffies(100) + 1;
+       do {
+               trans_status = readl(i2c->regs + HSI2C_TRANS_STATUS);
+               if (!(trans_status & HSI2C_MASTER_BUSY))
+                       return 0;
+               usleep_range(50, 200);
+       } while (time_before(jiffies, stop_time));
+       return -EBUSY;
+ }
+ /*
+  * exynos5_i2c_message_start: Configures the bus and starts the xfer
+  * i2c: struct exynos5_i2c pointer for the current bus
+  * stop: Enables stop after transfer if set. Set for last transfer of
+  *       in the list of messages.
+  *
+  * Configures the bus for read/write function
+  * Sets chip address to talk to, message length to be sent.
+  * Enables appropriate interrupts and sends start xfer command.
+  */
+ static void exynos5_i2c_message_start(struct exynos5_i2c *i2c, int stop)
+ {
+       u32 i2c_ctl;
+       u32 int_en = HSI2C_INT_I2C_EN;
+       u32 i2c_auto_conf = 0;
+       u32 fifo_ctl;
+       unsigned long flags;
+       i2c_ctl = readl(i2c->regs + HSI2C_CTL);
+       i2c_ctl &= ~(HSI2C_TXCHON | HSI2C_RXCHON);
+       fifo_ctl = HSI2C_RXFIFO_EN | HSI2C_TXFIFO_EN;
+       if (i2c->msg->flags & I2C_M_RD) {
+               i2c_ctl |= HSI2C_RXCHON;
+               i2c_auto_conf = HSI2C_READ_WRITE;
+               fifo_ctl |= HSI2C_RXFIFO_TRIGGER_LEVEL(HSI2C_DEF_TXFIFO_LVL);
+               int_en |= (HSI2C_INT_RX_ALMOSTFULL_EN |
+                       HSI2C_INT_TRAILING_EN);
+       } else {
+               i2c_ctl |= HSI2C_TXCHON;
+               fifo_ctl |= HSI2C_TXFIFO_TRIGGER_LEVEL(HSI2C_DEF_RXFIFO_LVL);
+               int_en |= HSI2C_INT_TX_ALMOSTEMPTY_EN;
+       }
+       writel(HSI2C_SLV_ADDR_MAS(i2c->msg->addr), i2c->regs + HSI2C_ADDR);
+       writel(fifo_ctl, i2c->regs + HSI2C_FIFO_CTL);
+       writel(i2c_ctl, i2c->regs + HSI2C_CTL);
+       /*
+        * Enable interrupts before starting the transfer so that we don't
+        * miss any INT_I2C interrupts.
+        */
+       spin_lock_irqsave(&i2c->lock, flags);
+       writel(int_en, i2c->regs + HSI2C_INT_ENABLE);
+       if (stop == 1)
+               i2c_auto_conf |= HSI2C_STOP_AFTER_TRANS;
+       i2c_auto_conf |= i2c->msg->len;
+       i2c_auto_conf |= HSI2C_MASTER_RUN;
+       writel(i2c_auto_conf, i2c->regs + HSI2C_AUTO_CONF);
+       spin_unlock_irqrestore(&i2c->lock, flags);
+ }
+ static int exynos5_i2c_xfer_msg(struct exynos5_i2c *i2c,
+                             struct i2c_msg *msgs, int stop)
+ {
+       unsigned long timeout;
+       int ret;
+       i2c->msg = msgs;
+       i2c->msg_ptr = 0;
+       i2c->trans_done = 0;
++      reinit_completion(&i2c->msg_complete);
+       exynos5_i2c_message_start(i2c, stop);
+       timeout = wait_for_completion_timeout(&i2c->msg_complete,
+                                             EXYNOS5_I2C_TIMEOUT);
+       if (timeout == 0)
+               ret = -ETIMEDOUT;
+       else
+               ret = i2c->state;
+       /*
+        * If this is the last message to be transfered (stop == 1)
+        * Then check if the bus can be brought back to idle.
+        */
+       if (ret == 0 && stop)
+               ret = exynos5_i2c_wait_bus_idle(i2c);
+       if (ret < 0) {
+               exynos5_i2c_reset(i2c);
+               if (ret == -ETIMEDOUT)
+                       dev_warn(i2c->dev, "%s timeout\n",
+                                (msgs->flags & I2C_M_RD) ? "rx" : "tx");
+       }
+       /* Return the state as in interrupt routine */
+       return ret;
+ }
+ static int exynos5_i2c_xfer(struct i2c_adapter *adap,
+                       struct i2c_msg *msgs, int num)
+ {
+       struct exynos5_i2c *i2c = (struct exynos5_i2c *)adap->algo_data;
+       int i = 0, ret = 0, stop = 0;
+       if (i2c->suspended) {
+               dev_err(i2c->dev, "HS-I2C is not initialzed.\n");
+               return -EIO;
+       }
+       clk_prepare_enable(i2c->clk);
+       for (i = 0; i < num; i++, msgs++) {
+               stop = (i == num - 1);
+               ret = exynos5_i2c_xfer_msg(i2c, msgs, stop);
+               if (ret < 0)
+                       goto out;
+       }
+       if (i == num) {
+               ret = num;
+       } else {
+               /* Only one message, cannot access the device */
+               if (i == 1)
+                       ret = -EREMOTEIO;
+               else
+                       ret = i;
+               dev_warn(i2c->dev, "xfer message failed\n");
+       }
+  out:
+       clk_disable_unprepare(i2c->clk);
+       return ret;
+ }
+ static u32 exynos5_i2c_func(struct i2c_adapter *adap)
+ {
+       return I2C_FUNC_I2C | (I2C_FUNC_SMBUS_EMUL & ~I2C_FUNC_SMBUS_QUICK);
+ }
+ static const struct i2c_algorithm exynos5_i2c_algorithm = {
+       .master_xfer            = exynos5_i2c_xfer,
+       .functionality          = exynos5_i2c_func,
+ };
+ static int exynos5_i2c_probe(struct platform_device *pdev)
+ {
+       struct device_node *np = pdev->dev.of_node;
+       struct exynos5_i2c *i2c;
+       struct resource *mem;
+       unsigned int op_clock;
+       int ret;
+       i2c = devm_kzalloc(&pdev->dev, sizeof(struct exynos5_i2c), GFP_KERNEL);
+       if (!i2c) {
+               dev_err(&pdev->dev, "no memory for state\n");
+               return -ENOMEM;
+       }
+       if (of_property_read_u32(np, "clock-frequency", &op_clock)) {
+               i2c->speed_mode = HSI2C_FAST_SPD;
+               i2c->fs_clock = HSI2C_FS_TX_CLOCK;
+       } else {
+               if (op_clock >= HSI2C_HS_TX_CLOCK) {
+                       i2c->speed_mode = HSI2C_HIGH_SPD;
+                       i2c->fs_clock = HSI2C_FS_TX_CLOCK;
+                       i2c->hs_clock = op_clock;
+               } else {
+                       i2c->speed_mode = HSI2C_FAST_SPD;
+                       i2c->fs_clock = op_clock;
+               }
+       }
+       strlcpy(i2c->adap.name, "exynos5-i2c", sizeof(i2c->adap.name));
+       i2c->adap.owner   = THIS_MODULE;
+       i2c->adap.algo    = &exynos5_i2c_algorithm;
+       i2c->adap.retries = 3;
+       i2c->dev = &pdev->dev;
+       i2c->clk = devm_clk_get(&pdev->dev, "hsi2c");
+       if (IS_ERR(i2c->clk)) {
+               dev_err(&pdev->dev, "cannot get clock\n");
+               return -ENOENT;
+       }
+       clk_prepare_enable(i2c->clk);
+       mem = platform_get_resource(pdev, IORESOURCE_MEM, 0);
+       i2c->regs = devm_ioremap_resource(&pdev->dev, mem);
+       if (IS_ERR(i2c->regs)) {
+               ret = PTR_ERR(i2c->regs);
+               goto err_clk;
+       }
+       i2c->adap.dev.of_node = np;
+       i2c->adap.algo_data = i2c;
+       i2c->adap.dev.parent = &pdev->dev;
+       /* Clear pending interrupts from u-boot or misc causes */
+       exynos5_i2c_clr_pend_irq(i2c);
+       spin_lock_init(&i2c->lock);
+       init_completion(&i2c->msg_complete);
+       i2c->irq = ret = platform_get_irq(pdev, 0);
+       if (ret <= 0) {
+               dev_err(&pdev->dev, "cannot find HS-I2C IRQ\n");
+               ret = -EINVAL;
+               goto err_clk;
+       }
+       ret = devm_request_irq(&pdev->dev, i2c->irq, exynos5_i2c_irq,
+                               IRQF_NO_SUSPEND | IRQF_ONESHOT,
+                               dev_name(&pdev->dev), i2c);
+       if (ret != 0) {
+               dev_err(&pdev->dev, "cannot request HS-I2C IRQ %d\n", i2c->irq);
+               goto err_clk;
+       }
+       ret = exynos5_hsi2c_clock_setup(i2c);
+       if (ret)
+               goto err_clk;
+       exynos5_i2c_init(i2c);
+       ret = i2c_add_adapter(&i2c->adap);
+       if (ret < 0) {
+               dev_err(&pdev->dev, "failed to add bus to i2c core\n");
+               goto err_clk;
+       }
+       platform_set_drvdata(pdev, i2c);
+  err_clk:
+       clk_disable_unprepare(i2c->clk);
+       return ret;
+ }
+ static int exynos5_i2c_remove(struct platform_device *pdev)
+ {
+       struct exynos5_i2c *i2c = platform_get_drvdata(pdev);
+       i2c_del_adapter(&i2c->adap);
+       return 0;
+ }
+ static int exynos5_i2c_suspend_noirq(struct device *dev)
+ {
+       struct platform_device *pdev = to_platform_device(dev);
+       struct exynos5_i2c *i2c = platform_get_drvdata(pdev);
+       i2c->suspended = 1;
+       return 0;
+ }
+ static int exynos5_i2c_resume_noirq(struct device *dev)
+ {
+       struct platform_device *pdev = to_platform_device(dev);
+       struct exynos5_i2c *i2c = platform_get_drvdata(pdev);
+       int ret = 0;
+       clk_prepare_enable(i2c->clk);
+       ret = exynos5_hsi2c_clock_setup(i2c);
+       if (ret) {
+               clk_disable_unprepare(i2c->clk);
+               return ret;
+       }
+       exynos5_i2c_init(i2c);
+       clk_disable_unprepare(i2c->clk);
+       i2c->suspended = 0;
+       return 0;
+ }
+ static SIMPLE_DEV_PM_OPS(exynos5_i2c_dev_pm_ops, exynos5_i2c_suspend_noirq,
+                        exynos5_i2c_resume_noirq);
+ static struct platform_driver exynos5_i2c_driver = {
+       .probe          = exynos5_i2c_probe,
+       .remove         = exynos5_i2c_remove,
+       .driver         = {
+               .owner  = THIS_MODULE,
+               .name   = "exynos5-hsi2c",
+               .pm     = &exynos5_i2c_dev_pm_ops,
+               .of_match_table = exynos5_i2c_match,
+       },
+ };
+ module_platform_driver(exynos5_i2c_driver);
+ MODULE_DESCRIPTION("Exynos5 HS-I2C Bus driver");
+ MODULE_AUTHOR("Naveen Krishna Chatradhi, <ch.naveen@samsung.com>");
+ MODULE_AUTHOR("Taekgyun Ko, <taeggyun.ko@samsung.com>");
+ MODULE_LICENSE("GPL v2");
@@@ -491,21 -575,23 +575,23 @@@ static int mxs_i2c_xfer_msg(struct i2c_
                return -EINVAL;
  
        /*
-        * The current boundary to select between PIO/DMA transfer method
-        * is set to 8 bytes, transfers shorter than 8 bytes are transfered
-        * using PIO mode while longer transfers use DMA. The 8 byte border is
-        * based on this empirical measurement and a lot of previous frobbing.
+        * The MX28 I2C IP block can only do PIO READ for transfer of to up
+        * 4 bytes of length. The write transfer is not limited as it can use
+        * clock stretching to avoid FIFO underruns.
         */
+       if ((msg->flags & I2C_M_RD) && (msg->len <= 4))
+               use_pio = 1;
+       if (!(msg->flags & I2C_M_RD) && (msg->len < 7))
+               use_pio = 1;
        i2c->cmd_err = 0;
-       if (0) {        /* disable PIO mode until a proper fix is made */
+       if (use_pio) {
                ret = mxs_i2c_pio_setup_xfer(adap, msg, flags);
-               if (ret) {
-                       err = mxs_i2c_reset(i2c);
-                       if (err)
-                               return err;
-               }
+               /* No need to reset the block if NAK was received. */
+               if (ret && (ret != -ENXIO))
+                       mxs_i2c_reset(i2c);
        } else {
 -              INIT_COMPLETION(i2c->cmd_complete);
 +              reinit_completion(&i2c->cmd_complete);
                ret = mxs_i2c_dma_setup_xfer(adap, msg, flags);
                if (ret)
                        return ret;
index 0000000,fa57c5e..9cf715d
mode 000000,100644..100644
--- /dev/null
@@@ -1,0 -1,872 +1,872 @@@
 -      INIT_COMPLETION(i2c_dev->complete);
+ /*
+  * Copyright (C) 2013 STMicroelectronics
+  *
+  * I2C master mode controller driver, used in STMicroelectronics devices.
+  *
+  * Author: Maxime Coquelin <maxime.coquelin@st.com>
+  *
+  * This program is free software; you can redistribute it and/or modify
+  * it under the terms of the GNU General Public License version 2, as
+  * published by the Free Software Foundation.
+  */
+ #include <linux/module.h>
+ #include <linux/platform_device.h>
+ #include <linux/i2c.h>
+ #include <linux/clk.h>
+ #include <linux/io.h>
+ #include <linux/delay.h>
+ #include <linux/interrupt.h>
+ #include <linux/err.h>
+ #include <linux/of.h>
+ #include <linux/of_address.h>
+ #include <linux/of_irq.h>
+ /* SSC registers */
+ #define SSC_BRG                               0x000
+ #define SSC_TBUF                      0x004
+ #define SSC_RBUF                      0x008
+ #define SSC_CTL                               0x00C
+ #define SSC_IEN                               0x010
+ #define SSC_STA                               0x014
+ #define SSC_I2C                               0x018
+ #define SSC_SLAD                      0x01C
+ #define SSC_REP_START_HOLD            0x020
+ #define SSC_START_HOLD                        0x024
+ #define SSC_REP_START_SETUP           0x028
+ #define SSC_DATA_SETUP                        0x02C
+ #define SSC_STOP_SETUP                        0x030
+ #define SSC_BUS_FREE                  0x034
+ #define SSC_TX_FSTAT                  0x038
+ #define SSC_RX_FSTAT                  0x03C
+ #define SSC_PRE_SCALER_BRG            0x040
+ #define SSC_CLR                               0x080
+ #define SSC_NOISE_SUPP_WIDTH          0x100
+ #define SSC_PRSCALER                  0x104
+ #define SSC_NOISE_SUPP_WIDTH_DATAOUT  0x108
+ #define SSC_PRSCALER_DATAOUT          0x10c
+ /* SSC Control */
+ #define SSC_CTL_DATA_WIDTH_9          0x8
+ #define SSC_CTL_DATA_WIDTH_MSK                0xf
+ #define SSC_CTL_BM                    0xf
+ #define SSC_CTL_HB                    BIT(4)
+ #define SSC_CTL_PH                    BIT(5)
+ #define SSC_CTL_PO                    BIT(6)
+ #define SSC_CTL_SR                    BIT(7)
+ #define SSC_CTL_MS                    BIT(8)
+ #define SSC_CTL_EN                    BIT(9)
+ #define SSC_CTL_LPB                   BIT(10)
+ #define SSC_CTL_EN_TX_FIFO            BIT(11)
+ #define SSC_CTL_EN_RX_FIFO            BIT(12)
+ #define SSC_CTL_EN_CLST_RX            BIT(13)
+ /* SSC Interrupt Enable */
+ #define SSC_IEN_RIEN                  BIT(0)
+ #define SSC_IEN_TIEN                  BIT(1)
+ #define SSC_IEN_TEEN                  BIT(2)
+ #define SSC_IEN_REEN                  BIT(3)
+ #define SSC_IEN_PEEN                  BIT(4)
+ #define SSC_IEN_AASEN                 BIT(6)
+ #define SSC_IEN_STOPEN                        BIT(7)
+ #define SSC_IEN_ARBLEN                        BIT(8)
+ #define SSC_IEN_NACKEN                        BIT(10)
+ #define SSC_IEN_REPSTRTEN             BIT(11)
+ #define SSC_IEN_TX_FIFO_HALF          BIT(12)
+ #define SSC_IEN_RX_FIFO_HALF_FULL     BIT(14)
+ /* SSC Status */
+ #define SSC_STA_RIR                   BIT(0)
+ #define SSC_STA_TIR                   BIT(1)
+ #define SSC_STA_TE                    BIT(2)
+ #define SSC_STA_RE                    BIT(3)
+ #define SSC_STA_PE                    BIT(4)
+ #define SSC_STA_CLST                  BIT(5)
+ #define SSC_STA_AAS                   BIT(6)
+ #define SSC_STA_STOP                  BIT(7)
+ #define SSC_STA_ARBL                  BIT(8)
+ #define SSC_STA_BUSY                  BIT(9)
+ #define SSC_STA_NACK                  BIT(10)
+ #define SSC_STA_REPSTRT                       BIT(11)
+ #define SSC_STA_TX_FIFO_HALF          BIT(12)
+ #define SSC_STA_TX_FIFO_FULL          BIT(13)
+ #define SSC_STA_RX_FIFO_HALF          BIT(14)
+ /* SSC I2C Control */
+ #define SSC_I2C_I2CM                  BIT(0)
+ #define SSC_I2C_STRTG                 BIT(1)
+ #define SSC_I2C_STOPG                 BIT(2)
+ #define SSC_I2C_ACKG                  BIT(3)
+ #define SSC_I2C_AD10                  BIT(4)
+ #define SSC_I2C_TXENB                 BIT(5)
+ #define SSC_I2C_REPSTRTG              BIT(11)
+ #define SSC_I2C_SLAVE_DISABLE         BIT(12)
+ /* SSC Tx FIFO Status */
+ #define SSC_TX_FSTAT_STATUS           0x07
+ /* SSC Rx FIFO Status */
+ #define SSC_RX_FSTAT_STATUS           0x07
+ /* SSC Clear bit operation */
+ #define SSC_CLR_SSCAAS                        BIT(6)
+ #define SSC_CLR_SSCSTOP                       BIT(7)
+ #define SSC_CLR_SSCARBL                       BIT(8)
+ #define SSC_CLR_NACK                  BIT(10)
+ #define SSC_CLR_REPSTRT                       BIT(11)
+ /* SSC Clock Prescaler */
+ #define SSC_PRSC_VALUE                        0x0f
+ #define SSC_TXFIFO_SIZE                       0x8
+ #define SSC_RXFIFO_SIZE                       0x8
+ enum st_i2c_mode {
+       I2C_MODE_STANDARD,
+       I2C_MODE_FAST,
+       I2C_MODE_END,
+ };
+ /**
+  * struct st_i2c_timings - per-Mode tuning parameters
+  * @rate: I2C bus rate
+  * @rep_start_hold: I2C repeated start hold time requirement
+  * @rep_start_setup: I2C repeated start set up time requirement
+  * @start_hold: I2C start hold time requirement
+  * @data_setup_time: I2C data set up time requirement
+  * @stop_setup_time: I2C stop set up time requirement
+  * @bus_free_time: I2C bus free time requirement
+  * @sda_pulse_min_limit: I2C SDA pulse mini width limit
+  */
+ struct st_i2c_timings {
+       u32 rate;
+       u32 rep_start_hold;
+       u32 rep_start_setup;
+       u32 start_hold;
+       u32 data_setup_time;
+       u32 stop_setup_time;
+       u32 bus_free_time;
+       u32 sda_pulse_min_limit;
+ };
+ /**
+  * struct st_i2c_client - client specific data
+  * @addr: 8-bit slave addr, including r/w bit
+  * @count: number of bytes to be transfered
+  * @xfered: number of bytes already transferred
+  * @buf: data buffer
+  * @result: result of the transfer
+  * @stop: last I2C msg to be sent, i.e. STOP to be generated
+  */
+ struct st_i2c_client {
+       u8      addr;
+       u32     count;
+       u32     xfered;
+       u8      *buf;
+       int     result;
+       bool    stop;
+ };
+ /**
+  * struct st_i2c_dev - private data of the controller
+  * @adap: I2C adapter for this controller
+  * @dev: device for this controller
+  * @base: virtual memory area
+  * @complete: completion of I2C message
+  * @irq: interrupt line for th controller
+  * @clk: hw ssc block clock
+  * @mode: I2C mode of the controller. Standard or Fast only supported
+  * @scl_min_width_us: SCL line minimum pulse width in us
+  * @sda_min_width_us: SDA line minimum pulse width in us
+  * @client: I2C transfert information
+  * @busy: I2C transfer on-going
+  */
+ struct st_i2c_dev {
+       struct i2c_adapter      adap;
+       struct device           *dev;
+       void __iomem            *base;
+       struct completion       complete;
+       int                     irq;
+       struct clk              *clk;
+       int                     mode;
+       u32                     scl_min_width_us;
+       u32                     sda_min_width_us;
+       struct st_i2c_client    client;
+       bool                    busy;
+ };
+ static inline void st_i2c_set_bits(void __iomem *reg, u32 mask)
+ {
+       writel_relaxed(readl_relaxed(reg) | mask, reg);
+ }
+ static inline void st_i2c_clr_bits(void __iomem *reg, u32 mask)
+ {
+       writel_relaxed(readl_relaxed(reg) & ~mask, reg);
+ }
+ /* From I2C Specifications v0.5 */
+ static struct st_i2c_timings i2c_timings[] = {
+       [I2C_MODE_STANDARD] = {
+               .rate                   = 100000,
+               .rep_start_hold         = 4000,
+               .rep_start_setup        = 4700,
+               .start_hold             = 4000,
+               .data_setup_time        = 250,
+               .stop_setup_time        = 4000,
+               .bus_free_time          = 4700,
+       },
+       [I2C_MODE_FAST] = {
+               .rate                   = 400000,
+               .rep_start_hold         = 600,
+               .rep_start_setup        = 600,
+               .start_hold             = 600,
+               .data_setup_time        = 100,
+               .stop_setup_time        = 600,
+               .bus_free_time          = 1300,
+       },
+ };
+ static void st_i2c_flush_rx_fifo(struct st_i2c_dev *i2c_dev)
+ {
+       int count, i;
+       /*
+        * Counter only counts up to 7 but fifo size is 8...
+        * When fifo is full, counter is 0 and RIR bit of status register is
+        * set
+        */
+       if (readl_relaxed(i2c_dev->base + SSC_STA) & SSC_STA_RIR)
+               count = SSC_RXFIFO_SIZE;
+       else
+               count = readl_relaxed(i2c_dev->base + SSC_RX_FSTAT) &
+                       SSC_RX_FSTAT_STATUS;
+       for (i = 0; i < count; i++)
+               readl_relaxed(i2c_dev->base + SSC_RBUF);
+ }
+ static void st_i2c_soft_reset(struct st_i2c_dev *i2c_dev)
+ {
+       /*
+        * FIFO needs to be emptied before reseting the IP,
+        * else the controller raises a BUSY error.
+        */
+       st_i2c_flush_rx_fifo(i2c_dev);
+       st_i2c_set_bits(i2c_dev->base + SSC_CTL, SSC_CTL_SR);
+       st_i2c_clr_bits(i2c_dev->base + SSC_CTL, SSC_CTL_SR);
+ }
+ /**
+  * st_i2c_hw_config() - Prepare SSC block, calculate and apply tuning timings
+  * @i2c_dev: Controller's private data
+  */
+ static void st_i2c_hw_config(struct st_i2c_dev *i2c_dev)
+ {
+       unsigned long rate;
+       u32 val, ns_per_clk;
+       struct st_i2c_timings *t = &i2c_timings[i2c_dev->mode];
+       st_i2c_soft_reset(i2c_dev);
+       val = SSC_CLR_REPSTRT | SSC_CLR_NACK | SSC_CLR_SSCARBL |
+               SSC_CLR_SSCAAS | SSC_CLR_SSCSTOP;
+       writel_relaxed(val, i2c_dev->base + SSC_CLR);
+       /* SSC Control register setup */
+       val = SSC_CTL_PO | SSC_CTL_PH | SSC_CTL_HB | SSC_CTL_DATA_WIDTH_9;
+       writel_relaxed(val, i2c_dev->base + SSC_CTL);
+       rate = clk_get_rate(i2c_dev->clk);
+       ns_per_clk = 1000000000 / rate;
+       /* Baudrate */
+       val = rate / (2 * t->rate);
+       writel_relaxed(val, i2c_dev->base + SSC_BRG);
+       /* Pre-scaler baudrate */
+       writel_relaxed(1, i2c_dev->base + SSC_PRE_SCALER_BRG);
+       /* Enable I2C mode */
+       writel_relaxed(SSC_I2C_I2CM, i2c_dev->base + SSC_I2C);
+       /* Repeated start hold time */
+       val = t->rep_start_hold / ns_per_clk;
+       writel_relaxed(val, i2c_dev->base + SSC_REP_START_HOLD);
+       /* Repeated start set up time */
+       val = t->rep_start_setup / ns_per_clk;
+       writel_relaxed(val, i2c_dev->base + SSC_REP_START_SETUP);
+       /* Start hold time */
+       val = t->start_hold / ns_per_clk;
+       writel_relaxed(val, i2c_dev->base + SSC_START_HOLD);
+       /* Data set up time */
+       val = t->data_setup_time / ns_per_clk;
+       writel_relaxed(val, i2c_dev->base + SSC_DATA_SETUP);
+       /* Stop set up time */
+       val = t->stop_setup_time / ns_per_clk;
+       writel_relaxed(val, i2c_dev->base + SSC_STOP_SETUP);
+       /* Bus free time */
+       val = t->bus_free_time / ns_per_clk;
+       writel_relaxed(val, i2c_dev->base + SSC_BUS_FREE);
+       /* Prescalers set up */
+       val = rate / 10000000;
+       writel_relaxed(val, i2c_dev->base + SSC_PRSCALER);
+       writel_relaxed(val, i2c_dev->base + SSC_PRSCALER_DATAOUT);
+       /* Noise suppression witdh */
+       val = i2c_dev->scl_min_width_us * rate / 100000000;
+       writel_relaxed(val, i2c_dev->base + SSC_NOISE_SUPP_WIDTH);
+       /* Noise suppression max output data delay width */
+       val = i2c_dev->sda_min_width_us * rate / 100000000;
+       writel_relaxed(val, i2c_dev->base + SSC_NOISE_SUPP_WIDTH_DATAOUT);
+ }
+ static int st_i2c_wait_free_bus(struct st_i2c_dev *i2c_dev)
+ {
+       u32 sta;
+       int i;
+       for (i = 0; i < 10; i++) {
+               sta = readl_relaxed(i2c_dev->base + SSC_STA);
+               if (!(sta & SSC_STA_BUSY))
+                       return 0;
+               usleep_range(2000, 4000);
+       }
+       dev_err(i2c_dev->dev, "bus not free (status = 0x%08x)\n", sta);
+       return -EBUSY;
+ }
+ /**
+  * st_i2c_write_tx_fifo() - Write a byte in the Tx FIFO
+  * @i2c_dev: Controller's private data
+  * @byte: Data to write in the Tx FIFO
+  */
+ static inline void st_i2c_write_tx_fifo(struct st_i2c_dev *i2c_dev, u8 byte)
+ {
+       u16 tbuf = byte << 1;
+       writel_relaxed(tbuf | 1, i2c_dev->base + SSC_TBUF);
+ }
+ /**
+  * st_i2c_wr_fill_tx_fifo() - Fill the Tx FIFO in write mode
+  * @i2c_dev: Controller's private data
+  *
+  * This functions fills the Tx FIFO with I2C transfert buffer when
+  * in write mode.
+  */
+ static void st_i2c_wr_fill_tx_fifo(struct st_i2c_dev *i2c_dev)
+ {
+       struct st_i2c_client *c = &i2c_dev->client;
+       u32 tx_fstat, sta;
+       int i;
+       sta = readl_relaxed(i2c_dev->base + SSC_STA);
+       if (sta & SSC_STA_TX_FIFO_FULL)
+               return;
+       tx_fstat = readl_relaxed(i2c_dev->base + SSC_TX_FSTAT);
+       tx_fstat &= SSC_TX_FSTAT_STATUS;
+       if (c->count < (SSC_TXFIFO_SIZE - tx_fstat))
+               i = c->count;
+       else
+               i = SSC_TXFIFO_SIZE - tx_fstat;
+       for (; i > 0; i--, c->count--, c->buf++)
+               st_i2c_write_tx_fifo(i2c_dev, *c->buf);
+ }
+ /**
+  * st_i2c_rd_fill_tx_fifo() - Fill the Tx FIFO in read mode
+  * @i2c_dev: Controller's private data
+  *
+  * This functions fills the Tx FIFO with fixed pattern when
+  * in read mode to trigger clock.
+  */
+ static void st_i2c_rd_fill_tx_fifo(struct st_i2c_dev *i2c_dev, int max)
+ {
+       struct st_i2c_client *c = &i2c_dev->client;
+       u32 tx_fstat, sta;
+       int i;
+       sta = readl_relaxed(i2c_dev->base + SSC_STA);
+       if (sta & SSC_STA_TX_FIFO_FULL)
+               return;
+       tx_fstat = readl_relaxed(i2c_dev->base + SSC_TX_FSTAT);
+       tx_fstat &= SSC_TX_FSTAT_STATUS;
+       if (max < (SSC_TXFIFO_SIZE - tx_fstat))
+               i = max;
+       else
+               i = SSC_TXFIFO_SIZE - tx_fstat;
+       for (; i > 0; i--, c->xfered++)
+               st_i2c_write_tx_fifo(i2c_dev, 0xff);
+ }
+ static void st_i2c_read_rx_fifo(struct st_i2c_dev *i2c_dev)
+ {
+       struct st_i2c_client *c = &i2c_dev->client;
+       u32 i, sta;
+       u16 rbuf;
+       sta = readl_relaxed(i2c_dev->base + SSC_STA);
+       if (sta & SSC_STA_RIR) {
+               i = SSC_RXFIFO_SIZE;
+       } else {
+               i = readl_relaxed(i2c_dev->base + SSC_RX_FSTAT);
+               i &= SSC_RX_FSTAT_STATUS;
+       }
+       for (; (i > 0) && (c->count > 0); i--, c->count--) {
+               rbuf = readl_relaxed(i2c_dev->base + SSC_RBUF) >> 1;
+               *c->buf++ = (u8)rbuf & 0xff;
+       }
+       if (i) {
+               dev_err(i2c_dev->dev, "Unexpected %d bytes in rx fifo\n", i);
+               st_i2c_flush_rx_fifo(i2c_dev);
+       }
+ }
+ /**
+  * st_i2c_terminate_xfer() - Send either STOP or REPSTART condition
+  * @i2c_dev: Controller's private data
+  */
+ static void st_i2c_terminate_xfer(struct st_i2c_dev *i2c_dev)
+ {
+       struct st_i2c_client *c = &i2c_dev->client;
+       st_i2c_clr_bits(i2c_dev->base + SSC_IEN, SSC_IEN_TEEN);
+       st_i2c_clr_bits(i2c_dev->base + SSC_I2C, SSC_I2C_STRTG);
+       if (c->stop) {
+               st_i2c_set_bits(i2c_dev->base + SSC_IEN, SSC_IEN_STOPEN);
+               st_i2c_set_bits(i2c_dev->base + SSC_I2C, SSC_I2C_STOPG);
+       } else {
+               st_i2c_set_bits(i2c_dev->base + SSC_IEN, SSC_IEN_REPSTRTEN);
+               st_i2c_set_bits(i2c_dev->base + SSC_I2C, SSC_I2C_REPSTRTG);
+       }
+ }
+ /**
+  * st_i2c_handle_write() - Handle FIFO empty interrupt in case of write
+  * @i2c_dev: Controller's private data
+  */
+ static void st_i2c_handle_write(struct st_i2c_dev *i2c_dev)
+ {
+       struct st_i2c_client *c = &i2c_dev->client;
+       st_i2c_flush_rx_fifo(i2c_dev);
+       if (!c->count)
+               /* End of xfer, send stop or repstart */
+               st_i2c_terminate_xfer(i2c_dev);
+       else
+               st_i2c_wr_fill_tx_fifo(i2c_dev);
+ }
+ /**
+  * st_i2c_handle_write() - Handle FIFO enmpty interrupt in case of read
+  * @i2c_dev: Controller's private data
+  */
+ static void st_i2c_handle_read(struct st_i2c_dev *i2c_dev)
+ {
+       struct st_i2c_client *c = &i2c_dev->client;
+       u32 ien;
+       /* Trash the address read back */
+       if (!c->xfered) {
+               readl_relaxed(i2c_dev->base + SSC_RBUF);
+               st_i2c_clr_bits(i2c_dev->base + SSC_I2C, SSC_I2C_TXENB);
+       } else {
+               st_i2c_read_rx_fifo(i2c_dev);
+       }
+       if (!c->count) {
+               /* End of xfer, send stop or repstart */
+               st_i2c_terminate_xfer(i2c_dev);
+       } else if (c->count == 1) {
+               /* Penultimate byte to xfer, disable ACK gen. */
+               st_i2c_clr_bits(i2c_dev->base + SSC_I2C, SSC_I2C_ACKG);
+               /* Last received byte is to be handled by NACK interrupt */
+               ien = SSC_IEN_NACKEN | SSC_IEN_ARBLEN;
+               writel_relaxed(ien, i2c_dev->base + SSC_IEN);
+               st_i2c_rd_fill_tx_fifo(i2c_dev, c->count);
+       } else {
+               st_i2c_rd_fill_tx_fifo(i2c_dev, c->count - 1);
+       }
+ }
+ /**
+  * st_i2c_isr() - Interrupt routine
+  * @irq: interrupt number
+  * @data: Controller's private data
+  */
+ static irqreturn_t st_i2c_isr_thread(int irq, void *data)
+ {
+       struct st_i2c_dev *i2c_dev = data;
+       struct st_i2c_client *c = &i2c_dev->client;
+       u32 sta, ien;
+       int it;
+       ien = readl_relaxed(i2c_dev->base + SSC_IEN);
+       sta = readl_relaxed(i2c_dev->base + SSC_STA);
+       /* Use __fls() to check error bits first */
+       it = __fls(sta & ien);
+       if (it < 0) {
+               dev_dbg(i2c_dev->dev, "spurious it (sta=0x%04x, ien=0x%04x)\n",
+                               sta, ien);
+               return IRQ_NONE;
+       }
+       switch (1 << it) {
+       case SSC_STA_TE:
+               if (c->addr & I2C_M_RD)
+                       st_i2c_handle_read(i2c_dev);
+               else
+                       st_i2c_handle_write(i2c_dev);
+               break;
+       case SSC_STA_STOP:
+       case SSC_STA_REPSTRT:
+               writel_relaxed(0, i2c_dev->base + SSC_IEN);
+               complete(&i2c_dev->complete);
+               break;
+       case SSC_STA_NACK:
+               writel_relaxed(SSC_CLR_NACK, i2c_dev->base + SSC_CLR);
+               /* Last received byte handled by NACK interrupt */
+               if ((c->addr & I2C_M_RD) && (c->count == 1) && (c->xfered)) {
+                       st_i2c_handle_read(i2c_dev);
+                       break;
+               }
+               it = SSC_IEN_STOPEN | SSC_IEN_ARBLEN;
+               writel_relaxed(it, i2c_dev->base + SSC_IEN);
+               st_i2c_set_bits(i2c_dev->base + SSC_I2C, SSC_I2C_STOPG);
+               c->result = -EIO;
+               break;
+       case SSC_STA_ARBL:
+               writel_relaxed(SSC_CLR_SSCARBL, i2c_dev->base + SSC_CLR);
+               it = SSC_IEN_STOPEN | SSC_IEN_ARBLEN;
+               writel_relaxed(it, i2c_dev->base + SSC_IEN);
+               st_i2c_set_bits(i2c_dev->base + SSC_I2C, SSC_I2C_STOPG);
+               c->result = -EIO;
+               break;
+       default:
+               dev_err(i2c_dev->dev,
+                               "it %d unhandled (sta=0x%04x)\n", it, sta);
+       }
+       /*
+        * Read IEN register to ensure interrupt mask write is effective
+        * before re-enabling interrupt at GIC level, and thus avoid spurious
+        * interrupts.
+        */
+       readl(i2c_dev->base + SSC_IEN);
+       return IRQ_HANDLED;
+ }
+ /**
+  * st_i2c_xfer_msg() - Transfer a single I2C message
+  * @i2c_dev: Controller's private data
+  * @msg: I2C message to transfer
+  * @is_first: first message of the sequence
+  * @is_last: last message of the sequence
+  */
+ static int st_i2c_xfer_msg(struct st_i2c_dev *i2c_dev, struct i2c_msg *msg,
+                           bool is_first, bool is_last)
+ {
+       struct st_i2c_client *c = &i2c_dev->client;
+       u32 ctl, i2c, it;
+       unsigned long timeout;
+       int ret;
+       c->addr         = (u8)(msg->addr << 1);
+       c->addr         |= (msg->flags & I2C_M_RD);
+       c->buf          = msg->buf;
+       c->count        = msg->len;
+       c->xfered       = 0;
+       c->result       = 0;
+       c->stop         = is_last;
++      reinit_completion(&i2c_dev->complete);
+       ctl = SSC_CTL_EN | SSC_CTL_MS | SSC_CTL_EN_RX_FIFO | SSC_CTL_EN_TX_FIFO;
+       st_i2c_set_bits(i2c_dev->base + SSC_CTL, ctl);
+       i2c = SSC_I2C_TXENB;
+       if (c->addr & I2C_M_RD)
+               i2c |= SSC_I2C_ACKG;
+       st_i2c_set_bits(i2c_dev->base + SSC_I2C, i2c);
+       /* Write slave address */
+       st_i2c_write_tx_fifo(i2c_dev, c->addr);
+       /* Pre-fill Tx fifo with data in case of write */
+       if (!(c->addr & I2C_M_RD))
+               st_i2c_wr_fill_tx_fifo(i2c_dev);
+       it = SSC_IEN_NACKEN | SSC_IEN_TEEN | SSC_IEN_ARBLEN;
+       writel_relaxed(it, i2c_dev->base + SSC_IEN);
+       if (is_first) {
+               ret = st_i2c_wait_free_bus(i2c_dev);
+               if (ret)
+                       return ret;
+               st_i2c_set_bits(i2c_dev->base + SSC_I2C, SSC_I2C_STRTG);
+       }
+       timeout = wait_for_completion_timeout(&i2c_dev->complete,
+                       i2c_dev->adap.timeout);
+       ret = c->result;
+       if (!timeout) {
+               dev_err(i2c_dev->dev, "Write to slave 0x%x timed out\n",
+                               c->addr);
+               ret = -ETIMEDOUT;
+       }
+       i2c = SSC_I2C_STOPG | SSC_I2C_REPSTRTG;
+       st_i2c_clr_bits(i2c_dev->base + SSC_I2C, i2c);
+       writel_relaxed(SSC_CLR_SSCSTOP | SSC_CLR_REPSTRT,
+                       i2c_dev->base + SSC_CLR);
+       return ret;
+ }
+ /**
+  * st_i2c_xfer() - Transfer a single I2C message
+  * @i2c_adap: Adapter pointer to the controller
+  * @msgs: Pointer to data to be written.
+  * @num: Number of messages to be executed
+  */
+ static int st_i2c_xfer(struct i2c_adapter *i2c_adap,
+                       struct i2c_msg msgs[], int num)
+ {
+       struct st_i2c_dev *i2c_dev = i2c_get_adapdata(i2c_adap);
+       int ret, i;
+       i2c_dev->busy = true;
+       ret = clk_prepare_enable(i2c_dev->clk);
+       if (ret) {
+               dev_err(i2c_dev->dev, "Failed to prepare_enable clock\n");
+               return ret;
+       }
+       pinctrl_pm_select_default_state(i2c_dev->dev);
+       st_i2c_hw_config(i2c_dev);
+       for (i = 0; (i < num) && !ret; i++)
+               ret = st_i2c_xfer_msg(i2c_dev, &msgs[i], i == 0, i == num - 1);
+       pinctrl_pm_select_idle_state(i2c_dev->dev);
+       clk_disable_unprepare(i2c_dev->clk);
+       i2c_dev->busy = false;
+       return (ret < 0) ? ret : i;
+ }
+ #ifdef CONFIG_PM_SLEEP
+ static int st_i2c_suspend(struct device *dev)
+ {
+       struct platform_device *pdev =
+               container_of(dev, struct platform_device, dev);
+       struct st_i2c_dev *i2c_dev = platform_get_drvdata(pdev);
+       if (i2c_dev->busy)
+               return -EBUSY;
+       pinctrl_pm_select_sleep_state(dev);
+       return 0;
+ }
+ static int st_i2c_resume(struct device *dev)
+ {
+       pinctrl_pm_select_default_state(dev);
+       /* Go in idle state if available */
+       pinctrl_pm_select_idle_state(dev);
+       return 0;
+ }
+ static SIMPLE_DEV_PM_OPS(st_i2c_pm, st_i2c_suspend, st_i2c_resume);
+ #define ST_I2C_PM     (&st_i2c_pm)
+ #else
+ #define ST_I2C_PM     NULL
+ #endif
+ static u32 st_i2c_func(struct i2c_adapter *adap)
+ {
+       return I2C_FUNC_I2C | I2C_FUNC_SMBUS_EMUL;
+ }
+ static struct i2c_algorithm st_i2c_algo = {
+       .master_xfer = st_i2c_xfer,
+       .functionality = st_i2c_func,
+ };
+ static int st_i2c_of_get_deglitch(struct device_node *np,
+               struct st_i2c_dev *i2c_dev)
+ {
+       int ret;
+       ret = of_property_read_u32(np, "st,i2c-min-scl-pulse-width-us",
+                       &i2c_dev->scl_min_width_us);
+       if ((ret == -ENODATA) || (ret == -EOVERFLOW)) {
+               dev_err(i2c_dev->dev, "st,i2c-min-scl-pulse-width-us invalid\n");
+               return ret;
+       }
+       ret = of_property_read_u32(np, "st,i2c-min-sda-pulse-width-us",
+                       &i2c_dev->sda_min_width_us);
+       if ((ret == -ENODATA) || (ret == -EOVERFLOW)) {
+               dev_err(i2c_dev->dev, "st,i2c-min-sda-pulse-width-us invalid\n");
+               return ret;
+       }
+       return 0;
+ }
+ static int st_i2c_probe(struct platform_device *pdev)
+ {
+       struct device_node *np = pdev->dev.of_node;
+       struct st_i2c_dev *i2c_dev;
+       struct resource *res;
+       u32 clk_rate;
+       struct i2c_adapter *adap;
+       int ret;
+       i2c_dev = devm_kzalloc(&pdev->dev, sizeof(*i2c_dev), GFP_KERNEL);
+       if (!i2c_dev)
+               return -ENOMEM;
+       res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
+       i2c_dev->base = devm_ioremap_resource(&pdev->dev, res);
+       if (IS_ERR(i2c_dev->base))
+               return PTR_ERR(i2c_dev->base);
+       i2c_dev->irq = irq_of_parse_and_map(np, 0);
+       if (!i2c_dev->irq) {
+               dev_err(&pdev->dev, "IRQ missing or invalid\n");
+               return -EINVAL;
+       }
+       i2c_dev->clk = of_clk_get_by_name(np, "ssc");
+       if (IS_ERR(i2c_dev->clk)) {
+               dev_err(&pdev->dev, "Unable to request clock\n");
+               return PTR_ERR(i2c_dev->clk);
+       }
+       i2c_dev->mode = I2C_MODE_STANDARD;
+       ret = of_property_read_u32(np, "clock-frequency", &clk_rate);
+       if ((!ret) && (clk_rate == 400000))
+               i2c_dev->mode = I2C_MODE_FAST;
+       i2c_dev->dev = &pdev->dev;
+       ret = devm_request_threaded_irq(&pdev->dev, i2c_dev->irq,
+                       NULL, st_i2c_isr_thread,
+                       IRQF_ONESHOT, pdev->name, i2c_dev);
+       if (ret) {
+               dev_err(&pdev->dev, "Failed to request irq %i\n", i2c_dev->irq);
+               return ret;
+       }
+       pinctrl_pm_select_default_state(i2c_dev->dev);
+       /* In case idle state available, select it */
+       pinctrl_pm_select_idle_state(i2c_dev->dev);
+       ret = st_i2c_of_get_deglitch(np, i2c_dev);
+       if (ret)
+               return ret;
+       adap = &i2c_dev->adap;
+       i2c_set_adapdata(adap, i2c_dev);
+       snprintf(adap->name, sizeof(adap->name), "ST I2C(0x%x)", res->start);
+       adap->owner = THIS_MODULE;
+       adap->timeout = 2 * HZ;
+       adap->retries = 0;
+       adap->algo = &st_i2c_algo;
+       adap->dev.parent = &pdev->dev;
+       adap->dev.of_node = pdev->dev.of_node;
+       init_completion(&i2c_dev->complete);
+       ret = i2c_add_adapter(adap);
+       if (ret) {
+               dev_err(&pdev->dev, "Failed to add adapter\n");
+               return ret;
+       }
+       platform_set_drvdata(pdev, i2c_dev);
+       dev_info(i2c_dev->dev, "%s initialized\n", adap->name);
+       return 0;
+ }
+ static int st_i2c_remove(struct platform_device *pdev)
+ {
+       struct st_i2c_dev *i2c_dev = platform_get_drvdata(pdev);
+       i2c_del_adapter(&i2c_dev->adap);
+       return 0;
+ }
+ static struct of_device_id st_i2c_match[] = {
+       { .compatible = "st,comms-ssc-i2c", },
+       { .compatible = "st,comms-ssc4-i2c", },
+       {},
+ };
+ MODULE_DEVICE_TABLE(of, st_i2c_match);
+ static struct platform_driver st_i2c_driver = {
+       .driver = {
+               .name = "st-i2c",
+               .owner = THIS_MODULE,
+               .of_match_table = st_i2c_match,
+               .pm = ST_I2C_PM,
+       },
+       .probe = st_i2c_probe,
+       .remove = st_i2c_remove,
+ };
+ module_platform_driver(st_i2c_driver);
+ MODULE_AUTHOR("Maxime Coquelin <maxime.coquelin@st.com>");
+ MODULE_DESCRIPTION("STMicroelectronics I2C driver");
+ MODULE_LICENSE("GPL v2");
Simple merge
@@@ -254,13 -254,10 +254,12 @@@ static int i2c_device_probe(struct devi
                                        client->flags & I2C_CLIENT_WAKE);
        dev_dbg(dev, "probe\n");
  
 +      acpi_dev_pm_attach(&client->dev, true);
        status = driver->probe(client, i2c_match_id(driver->id_table, client));
 -      if (status)
 +      if (status) {
-               client->driver = NULL;
                i2c_set_clientdata(client, NULL);
 -
 +              acpi_dev_pm_detach(&client->dev, true);
 +      }
        return status;
  }
  
@@@ -281,11 -278,9 +280,9 @@@ static int i2c_device_remove(struct dev
                dev->driver = NULL;
                status = 0;
        }
-       if (status == 0) {
-               client->driver = NULL;
+       if (status == 0)
                i2c_set_clientdata(client, NULL);
-       }
 -
 +      acpi_dev_pm_detach(&client->dev, true);
        return status;
  }
  
Simple merge
Simple merge
Simple merge
Simple merge
Simple merge
Simple merge