Merge branch 'exynos-drm-next' of git://git.kernel.org/pub/scm/linux/kernel/git/daein...
[cascardo/linux.git] / drivers /
2014-08-04 Dave AirlieMerge branch 'exynos-drm-next' of git://git./linux...
2014-08-04 Tobias Jakobidrm/exynos: g2d: let exynos_g2d_get_ver_ioctl fail
2014-08-04 Tobias Jakobidrm/exynos: g2d: make ioctls more robust
2014-08-04 Seung-Woo Kimdrm/exynos: hdmi: add null check for hdmiphy_port
2014-08-04 Joonyoung Shimdrm/exynos: control blending of mixer graphic layer 0
2014-08-04 Sjoerd Simonsdrm/exynos: Add MODULE_DEVICE_TABLE entries for various...
2014-08-04 Sjoerd SimonsSubject: Revert "drm/exynos: remove MODULE_DEVICE_TABLE...
2014-08-04 Sjoerd SimonsSubject: Revert "drm/exynos: fix module build error"
2014-08-03 Andrzej Hajdadrm/exynos/ipp: simplify ipp_find_driver
2014-08-03 Andrzej Hajdadrm/exynos/ipp: simplify ipp_create_id
2014-08-03 Andrzej Hajdadrm/exynos/ipp: remove redundant messages
2014-08-03 Andrzej Hajdadrm/exynos/ipp: simplify ipp_find_obj
2014-08-03 Andrzej Hajdadrm/exynos/ipp: remove useless registration checks
2014-08-03 Andrzej Hajdadrm/exynos/ipp: simplify memory check function
2014-08-03 Andrzej Hajdadrm/exynos/ipp: remove incorrect checks of list_first_e...
2014-08-03 Andrzej Hajdadrm/exynos/ipp: remove temporary variable
2014-08-03 Andrzej Hajdadrm/exynos/ipp: correct address type
2014-08-03 Andrzej Hajdadrm/exynos/ipp: remove struct exynos_drm_ipp_private
2014-08-03 Andrzej Hajdadrm/exynos/ipp: remove unused field from exynos_drm_ipp...
2014-08-03 Andrzej Hajdadrm/exynos/ipp: remove type casting
2014-08-03 Alban Browaeysdrm/exynos: g2d: add exynos4212 as a compatible device.
2014-08-03 YoungJun Chodrm/exynos: dsi: add driver data to support Exynos5410...
2014-08-03 YoungJun Chodrm/exynos: fimd: support LCD I80 interface
2014-08-03 YoungJun Chodrm/exynos: dsi: add TE interrupt handler to support...
2014-08-03 YoungJun Chodrm/exynos: add TE handler to support LCD I80 interface
2014-08-03 YoungJun Chodrm/exynos: use wait_event_timeout() for safety usage
2014-08-03 YoungJun Chodrm/exynos: dsi: move the EoT packets configuration...
2014-08-03 Marek Szyprowskidrm/exynos: hdmi: enable exynos 4210 and 4x12 soc support
2014-08-03 Marek Szyprowskidrm/exynos: hdmi: make 'hdmi-en' regulator optional...
2014-08-03 Jingoo Handrm/exynos: dp: Use correct module license
2014-08-03 Sachin Kamatdrm/exynos: Remove unused variable in exynos_drm_gem.c
2014-08-03 Sachin Kamatdrm/exynos: Remove unused variable from exynos_hdmi.c
2014-08-03 Krzysztof Kozlowskidrm/exynos: Fix NULL pointer exception when suspending...
2014-08-01 Mathias Krauseagp: remove read/write stubs
2014-08-01 David Herrmanndrm: drop i386 verification
2014-08-01 David Herrmanndrm: remove unused "struct drm_freelist"
2014-07-30 Laurent Pinchartdrm/rcar-du: Fix maximum frame buffer pitch computation
2014-07-24 Dave Airliedrm/i915: fix initial fbdev setup warnings
2014-07-24 Dave Airliedrm/i915: don't suspend gt until after we disable irqs...
2014-07-23 Dave AirlieMerge branch 'component-for-drm' of git://ftp.arm.linux...
2014-07-23 Dave AirlieMerge branch 'drm-armada-devel' of git://ftp.arm.linux...
2014-07-22 Chris Wilsondrm/i915: fix build without fbdev.
2014-07-22 Dave Airliedrm/ttm: make device_released static
2014-07-22 Dave Airliedrm/ttm: use gfp_t instead of int for flags.
2014-07-22 Russell Kingdrm: omapdrm: fix compiler errors
2014-07-22 Russell Kingdrm: bochs: fix warnings
2014-07-22 Russell Kingdrm: cirrus: fix warnings
2014-07-22 Russell Kingdrm: shmobile: fix warnings
2014-07-22 Russell Kingdrm: rcar-du: fix warnings
2014-07-22 Jean-Francois Moinedrm/i2c: tda998x: Remove useless test
2014-07-22 Dave AirlieMerge branch 'drm-i915-mst-support-next' of git://peopl...
2014-07-22 Dave Airliedrm/i915: mst topology dumper in debugfs (v0.2)
2014-07-22 Dave Airliedrm/i915: add DP 1.2 MST support (v0.7)
2014-07-22 Thierry Redingdrm/dp: Staticize a couple of DP utility functions
2014-07-22 Thierry Redingdrm/ttm: Fix a few sparse warnings
2014-07-22 Thierry Redingdrm: Add missing __user annotation
2014-07-21 Dave Airliedrm/i915: split conversion function out into separate...
2014-07-21 Dave Airliedrm/i915: check connector->encoder before using it.
2014-07-21 Dave Airliei915: split some DP modesetting code into a separate...
2014-07-21 Dave Airliedrm/i915: add some registers need for displayport MST...
2014-07-21 Sachin Kamatdrm: gem_cma: Use ERR_CAST helper
2014-07-21 Dave Airliedrm/i915: fix psr match conditions screw ups.
2014-07-19 Dave AirlieMerge tag 'topic/core-stuff-2014-07-18' of git://anongi...
2014-07-19 Dave AirlieMerge tag 'drm-intel-next-2014-07-11' of git://anongit...
2014-07-18 Sean Pauldrm: Check for connection_mutex in drm_select_eld
2014-07-18 Damien Lespiaudrm/dp-mst-helper: Don't use uninitialized fields of...
2014-07-18 Damien Lespiaudrm/dp-mst-helper: Avoid reading uninitialized value
2014-07-18 Matt Roperdrm/plane-helper: Use proper plane init function
2014-07-18 Fabian Frederickdrivers/gpu/drm/drm_buffer.c: remove unnecessary null...
2014-07-18 Thierry Redingdrm: Fix function names in kerneldoc
2014-07-18 Rob Clarkdrm/radeon: use helpers
2014-07-18 Rob Clarkdrm/i915: use helpers
2014-07-18 Rob Clarkdrm/nouveau: use helpers
2014-07-18 Rob Clarkdrm/vmwgfx: use helpers
2014-07-18 Rob Clarkdrm/udl: use helpers
2014-07-18 Rob Clarkdrm/mgag200: use helpers
2014-07-18 Rob Clarkdrm/exynos: use helpers
2014-07-18 Rob Clarkdrm/cirrus: use helpers
2014-07-18 Rob Clarkdrm/bochs: use helpers
2014-07-18 Rob Clarkdrm/ast: use helpers
2014-07-11 Russell Kingdrm/armada: register crtc with port
2014-07-11 Russell Kingdrm/armada: permit CRTCs to be registered as separate...
2014-07-11 Russell Kingdrm/armada: update Armada 510 (Dove) to use "ext_ref_cl...
2014-07-11 Russell Kingdrm/armada: convert to componentized support
2014-07-11 Russell KingMerge branches 'drm-devel' and 'component-for-driver...
2014-07-11 Russell Kingdrm: add of_graph endpoint helper to find possible...
2014-07-10 Chris Wilsondrm/i915: Make the RPS interrupt generation mask handle...
2014-07-10 Chris Wilsondrm/i915: Move RPS evaluation interval counters to...
2014-07-10 Damien Lespiaudrm/i915: Don't cast a pointer to void* unnecessarily
2014-07-10 Paulo Zanonidrm/i915: don't read LVDS regs at compute_config time
2014-07-10 Paulo Zanonidrm/i915: check the power domains in intel_lvds_get_hw_...
2014-07-10 Paulo Zanonidrm/i915: check the power domains in ironlake_get_pipe_...
2014-07-10 Paulo Zanonidrm/i915: don't skip shared DPLL assertion on LPT
2014-07-10 Daniel Vetterdrm/i915: Only touch WRPLL hw state in enable/disable...
2014-07-10 Daniel Vetterdrm/i915: Switch to common shared dpll framework for...
2014-07-10 Daniel Vetterdrm/i915: ->enable hook for WRPLLs
2014-07-10 Daniel Vetterdrm/i915: ->disable hook for WRPLLs
2014-07-10 Daniel Vetterdrm/i915: State readout support for WRPLLs
2014-07-10 Paulo Zanonidrm/i915: add POWER_DOMAIN_PLLS
2014-07-10 Daniel Vetterdrm/i915: Document that the pll->mode_set hook is optional
next