greybus: module: suppress activation error message for dummy interfaces
authorJohan Hovold <johan@hovoldconsulting.com>
Tue, 19 Jul 2016 13:24:50 +0000 (15:24 +0200)
committerGreg Kroah-Hartman <gregkh@google.com>
Wed, 20 Jul 2016 02:06:34 +0000 (19:06 -0700)
commit6633d80afbeecd91d5d786d6fbb32cdb8bc0a567
tree846205eed426faa3f6e52d593d632523fe618aed
parentc80a982fc1f8b2b1546c4b2acc08ffd543f6f6c5
greybus: module: suppress activation error message for dummy interfaces

We currently handle dummy interfaces by deactivating them using
activation error paths, but we don't want the corresponding
module_inserted error message to be printed.

Signed-off-by: Johan Hovold <johan@hovoldconsulting.com>
Signed-off-by: Greg Kroah-Hartman <gregkh@google.com>
drivers/staging/greybus/module.c