greybus: module: suppress activation error message for dummy interfaces