mlx4_core: Pass an invalid PCI id number to VFs
authorJack Morgenstein <jackm@dev.mellanox.co.il>
Tue, 19 Jun 2012 08:21:33 +0000 (11:21 +0300)
committerRoland Dreier <roland@purestorage.com>
Mon, 9 Jul 2012 01:05:05 +0000 (18:05 -0700)
Currently, VFs have 0 in their dev->caps.function field.  This is a
valid pci id (usually of the PF).  Instead, pass an invalid PCI id to
the VF via QUERY_FW, so that if the value gets accessed in the VF
driver, we'll catch the problem.

Signed-off-by: Jack Morgenstein <jackm@dev.mellanox.co.il>
Signed-off-by: Roland Dreier <roland@purestorage.com>
drivers/net/ethernet/mellanox/mlx4/fw.c
include/linux/mlx4/device.h

index 9c83bb8..4281ce0 100644 (file)
@@ -881,11 +881,12 @@ int mlx4_QUERY_FW(struct mlx4_dev *dev)
                ((fw_ver & 0xffff0000ull) >> 16) |
                ((fw_ver & 0x0000ffffull) << 16);
 
+       MLX4_GET(lg, outbox, QUERY_FW_PPF_ID);
+       dev->caps.function = lg;
+
        if (mlx4_is_slave(dev))
                goto out;
 
-       MLX4_GET(lg, outbox, QUERY_FW_PPF_ID);
-       dev->caps.function = lg;
 
        MLX4_GET(cmd_if_rev, outbox, QUERY_FW_CMD_IF_REV_OFFSET);
        if (cmd_if_rev < MLX4_COMMAND_INTERFACE_MIN_REV ||
@@ -966,9 +967,12 @@ int mlx4_QUERY_FW_wrapper(struct mlx4_dev *dev, int slave,
        if (err)
                return err;
 
-       /* for slaves, zero out everything except FW version */
+       /* for slaves, set pci PPF ID to invalid and zero out everything
+        * else except FW version */
        outbuf[0] = outbuf[1] = 0;
        memset(&outbuf[8], 0, QUERY_FW_OUT_SIZE - 8);
+       outbuf[QUERY_FW_PPF_ID] = MLX4_INVALID_SLAVE_ID;
+
        return 0;
 }
 
index 6a8f002..8eadf0f 100644 (file)
@@ -534,6 +534,8 @@ struct mlx4_init_port_param {
                if (((dev)->caps.port_mask[port] == MLX4_PORT_TYPE_IB) || \
                        ((dev)->caps.flags & MLX4_DEV_CAP_FLAG_IBOE))
 
+#define MLX4_INVALID_SLAVE_ID  0xFF
+
 static inline int mlx4_is_master(struct mlx4_dev *dev)
 {
        return dev->flags & MLX4_FLAG_MASTER;