Merge tag 'usb-4.9-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git/gregkh/usb
authorLinus Torvalds <torvalds@linux-foundation.org>
Tue, 4 Oct 2016 03:17:35 +0000 (20:17 -0700)
committerLinus Torvalds <torvalds@linux-foundation.org>
Tue, 4 Oct 2016 03:17:35 +0000 (20:17 -0700)
Pull usb/phy/extcon updates from Greg KH:
 "Here is the big USB, and PHY, and extcon, patchsets for 4.9-rc1.

  Full details are in the shortlog, but generally a lot of new hardware
  support, usb gadget updates, and Wolfram's great cleanup of USB error
  message handling, making the kernel image a tad bit smaller.

  All of this has been in linux-next with no reported issues"

* tag 'usb-4.9-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git/gregkh/usb: (343 commits)
  Revert "usbtmc: convert to devm_kzalloc"
  USB: serial: cp210x: Add ID for a Juniper console
  usb: Kconfig: using select for USB_COMMON dependency
  bluetooth: bcm203x: don't print error when allocating urb fails
  mmc: host: vub300: don't print error when allocating urb fails
  usb: hub: change CLEAR_FEATURE to SET_FEATURE
  usb: core: Introduce a USB port LED trigger
  USB: bcma: drop Northstar PHY 2.0 initialization code
  usb: core: hcd: add missing header dependencies
  usb: musb: da8xx: fix error handling message in probe
  usb: musb: Fix session based PM for first invalid VBUS
  usb: musb: Fix PM runtime for disconnect after unconfigure
  musb: Export musb_root_disconnect for use in modules
  usb: misc: legousbtower: Fix NULL pointer deference
  cdc-acm: hardening against malicious devices
  Revert "usb: gadget: NCM: Protect dev->port_usb using dev->lock"
  include: extcon: Fix compilation error caused because of incomplete merge
  MAINTAINERS: add tree entry for USB Serial
  phy-twl4030-usb: initialize charging-related stuff via pm_runtime
  phy-twl4030-usb: better handle musb_mailbox() failure
  ...

234 files changed:
Documentation/ABI/testing/sysfs-class-led-trigger-usbport [new file with mode: 0644]
Documentation/devicetree/bindings/extcon/qcom,pm8941-misc.txt [new file with mode: 0644]
Documentation/devicetree/bindings/phy/bcm-ns-usb3-phy.txt [new file with mode: 0644]
Documentation/devicetree/bindings/phy/mxs-usb-phy.txt
Documentation/devicetree/bindings/phy/phy-rockchip-inno-usb2.txt [new file with mode: 0644]
Documentation/devicetree/bindings/phy/phy-rockchip-typec.txt [new file with mode: 0644]
Documentation/devicetree/bindings/phy/rcar-gen3-phy-usb2.txt
Documentation/devicetree/bindings/phy/rockchip-pcie-phy.txt [new file with mode: 0644]
Documentation/devicetree/bindings/phy/rockchip-usb-phy.txt
Documentation/devicetree/bindings/phy/sun4i-usb-phy.txt
Documentation/devicetree/bindings/phy/ti-phy.txt
Documentation/devicetree/bindings/usb/ci-hdrc-usb2.txt
Documentation/devicetree/bindings/usb/dwc2.txt
Documentation/devicetree/bindings/usb/dwc3-cavium.txt [new file with mode: 0644]
Documentation/devicetree/bindings/usb/dwc3.txt
Documentation/devicetree/bindings/usb/generic.txt
Documentation/devicetree/bindings/usb/renesas_usbhs.txt
Documentation/devicetree/bindings/usb/rockchip,dwc3.txt [new file with mode: 0644]
Documentation/devicetree/bindings/usb/usb4604.txt [new file with mode: 0644]
Documentation/devicetree/bindings/usb/usbmisc-imx.txt
Documentation/kernel-parameters.txt
Documentation/leds/ledtrig-usbport.txt [new file with mode: 0644]
MAINTAINERS
drivers/bluetooth/bcm203x.c
drivers/extcon/Kconfig
drivers/extcon/Makefile
drivers/extcon/extcon-adc-jack.c
drivers/extcon/extcon-arizona.c
drivers/extcon/extcon-axp288.c
drivers/extcon/extcon-gpio.c
drivers/extcon/extcon-max14577.c
drivers/extcon/extcon-max3355.c
drivers/extcon/extcon-max77693.c
drivers/extcon/extcon-max77843.c
drivers/extcon/extcon-max8997.c
drivers/extcon/extcon-palmas.c
drivers/extcon/extcon-qcom-spmi-misc.c [new file with mode: 0644]
drivers/extcon/extcon-rt8973a.c
drivers/extcon/extcon-sm5502.c
drivers/extcon/extcon-usb-gpio.c
drivers/extcon/extcon.c
drivers/media/dvb-frontends/rtl2832_sdr.c
drivers/media/radio/si470x/radio-si470x-usb.c
drivers/media/rc/imon.c
drivers/media/rc/redrat3.c
drivers/media/usb/airspy/airspy.c
drivers/media/usb/as102/as102_usb_drv.c
drivers/media/usb/au0828/au0828-video.c
drivers/media/usb/cpia2/cpia2_usb.c
drivers/media/usb/cx231xx/cx231xx-audio.c
drivers/media/usb/cx231xx/cx231xx-core.c
drivers/media/usb/cx231xx/cx231xx-vbi.c
drivers/media/usb/dvb-usb/dib0700_core.c
drivers/media/usb/em28xx/em28xx-audio.c
drivers/media/usb/em28xx/em28xx-core.c
drivers/media/usb/gspca/benq.c
drivers/media/usb/gspca/gspca.c
drivers/media/usb/gspca/konica.c
drivers/media/usb/hackrf/hackrf.c
drivers/media/usb/hdpvr/hdpvr-video.c
drivers/media/usb/msi2500/msi2500.c
drivers/media/usb/pwc/pwc-if.c
drivers/media/usb/s2255/s2255drv.c
drivers/media/usb/stk1160/stk1160-video.c
drivers/media/usb/stkwebcam/stk-webcam.c
drivers/media/usb/tm6000/tm6000-dvb.c
drivers/media/usb/tm6000/tm6000-video.c
drivers/media/usb/usbvision/usbvision-core.c
drivers/media/usb/zr364xx/zr364xx.c
drivers/mmc/host/vub300.c
drivers/phy/Kconfig
drivers/phy/Makefile
drivers/phy/phy-bcm-ns-usb3.c [new file with mode: 0644]
drivers/phy/phy-bcm-ns2-pcie.c
drivers/phy/phy-core.c
drivers/phy/phy-da8xx-usb.c
drivers/phy/phy-exynos5-usbdrd.c
drivers/phy/phy-omap-usb2.c
drivers/phy/phy-qcom-ufs.c
drivers/phy/phy-rcar-gen3-usb2.c
drivers/phy/phy-rockchip-inno-usb2.c [new file with mode: 0644]
drivers/phy/phy-rockchip-pcie.c [new file with mode: 0644]
drivers/phy/phy-rockchip-typec.c [new file with mode: 0644]
drivers/phy/phy-rockchip-usb.c
drivers/phy/phy-sun4i-usb.c
drivers/phy/phy-twl4030-usb.c
drivers/phy/tegra/xusb.c
drivers/usb/Kconfig
drivers/usb/atm/cxacru.c
drivers/usb/atm/speedtch.c
drivers/usb/atm/ueagle-atm.c
drivers/usb/atm/usbatm.c
drivers/usb/chipidea/ci_hdrc_imx.c
drivers/usb/chipidea/ci_hdrc_imx.h
drivers/usb/chipidea/host.c
drivers/usb/chipidea/udc.c
drivers/usb/chipidea/usbmisc_imx.c
drivers/usb/class/cdc-acm.c
drivers/usb/class/cdc-wdm.c
drivers/usb/class/usbtmc.c
drivers/usb/common/ulpi.c
drivers/usb/core/Kconfig
drivers/usb/core/Makefile
drivers/usb/core/hcd.c
drivers/usb/core/hub.c
drivers/usb/core/ledtrig-usbport.c [new file with mode: 0644]
drivers/usb/core/message.c
drivers/usb/core/of.c
drivers/usb/core/otg_whitelist.h
drivers/usb/core/urb.c
drivers/usb/core/usb.c
drivers/usb/dwc2/core.c
drivers/usb/dwc2/core.h
drivers/usb/dwc2/gadget.c
drivers/usb/dwc2/hcd.c
drivers/usb/dwc2/hw.h
drivers/usb/dwc3/Kconfig
drivers/usb/dwc3/core.c
drivers/usb/dwc3/core.h
drivers/usb/dwc3/dwc3-of-simple.c
drivers/usb/dwc3/gadget.c
drivers/usb/dwc3/ulpi.c
drivers/usb/gadget/Kconfig
drivers/usb/gadget/composite.c
drivers/usb/gadget/configfs.c
drivers/usb/gadget/function/f_fs.c
drivers/usb/gadget/function/f_hid.c
drivers/usb/gadget/function/f_loopback.c
drivers/usb/gadget/function/f_mass_storage.c
drivers/usb/gadget/function/f_mass_storage.h
drivers/usb/gadget/function/f_midi.c
drivers/usb/gadget/function/f_ncm.c
drivers/usb/gadget/function/f_printer.c
drivers/usb/gadget/function/f_sourcesink.c
drivers/usb/gadget/function/f_uvc.c
drivers/usb/gadget/function/storage_common.c
drivers/usb/gadget/function/storage_common.h
drivers/usb/gadget/function/u_ether.c
drivers/usb/gadget/function/u_ether.h
drivers/usb/gadget/legacy/gmidi.c
drivers/usb/gadget/u_f.c
drivers/usb/gadget/u_f.h
drivers/usb/gadget/udc/core.c
drivers/usb/gadget/udc/fsl_qe_udc.c
drivers/usb/gadget/udc/goku_udc.c
drivers/usb/gadget/udc/net2280.c
drivers/usb/gadget/udc/omap_udc.c
drivers/usb/gadget/udc/pxa27x_udc.c
drivers/usb/gadget/udc/udc-xilinx.c
drivers/usb/host/Kconfig
drivers/usb/host/bcma-hcd.c
drivers/usb/host/ehci-platform.c
drivers/usb/host/fhci-hcd.c
drivers/usb/host/fsl-mph-dr-of.c
drivers/usb/host/max3421-hcd.c
drivers/usb/host/ohci-at91.c
drivers/usb/host/ohci-omap.c
drivers/usb/host/ohci-sa1111.c
drivers/usb/host/uhci-hcd.c
drivers/usb/host/whci/init.c
drivers/usb/host/xhci-tegra.c
drivers/usb/host/xhci.c
drivers/usb/misc/Kconfig
drivers/usb/misc/Makefile
drivers/usb/misc/adutux.c
drivers/usb/misc/appledisplay.c
drivers/usb/misc/cypress_cy7c63.c
drivers/usb/misc/cytherm.c
drivers/usb/misc/ezusb.c
drivers/usb/misc/ftdi-elan.c
drivers/usb/misc/idmouse.c
drivers/usb/misc/iowarrior.c
drivers/usb/misc/ldusb.c
drivers/usb/misc/legousbtower.c
drivers/usb/misc/lvstest.c
drivers/usb/misc/sisusbvga/sisusb.c
drivers/usb/misc/trancevibrator.c
drivers/usb/misc/usb4604.c [new file with mode: 0644]
drivers/usb/misc/usblcd.c
drivers/usb/misc/usbsevseg.c
drivers/usb/misc/uss720.c
drivers/usb/misc/yurex.c
drivers/usb/musb/Kconfig
drivers/usb/musb/am35x.c
drivers/usb/musb/da8xx.c
drivers/usb/musb/musb_core.c
drivers/usb/musb/musb_core.h
drivers/usb/musb/musb_dsps.c
drivers/usb/musb/musb_gadget.c
drivers/usb/musb/musb_virthub.c
drivers/usb/musb/omap2430.c
drivers/usb/musb/sunxi.c
drivers/usb/phy/phy-ab8500-usb.c
drivers/usb/phy/phy-generic.c
drivers/usb/phy/phy-mxs-usb.c
drivers/usb/renesas_usbhs/common.c
drivers/usb/renesas_usbhs/mod_gadget.c
drivers/usb/renesas_usbhs/mod_host.c
drivers/usb/renesas_usbhs/pipe.c
drivers/usb/serial/cp210x.c
drivers/usb/serial/keyspan_pda.c
drivers/usb/serial/ti_usb_3410_5052.c
drivers/usb/storage/alauda.c
drivers/usb/storage/scsiglue.c
drivers/usb/storage/sddr09.c
drivers/usb/storage/unusual_devs.h
drivers/usb/storage/usb.c
drivers/usb/usb-skeleton.c
drivers/usb/usbip/Kconfig
drivers/usb/usbip/stub_rx.c
drivers/usb/usbip/vhci.h
drivers/usb/usbip/vhci_hcd.c
drivers/usb/usbip/vhci_rx.c
drivers/usb/usbip/vhci_sysfs.c
drivers/usb/usbip/vudc_dev.c
drivers/usb/usbip/vudc_rx.c
drivers/usb/wusbcore/cbaf.c
drivers/usb/wusbcore/crypto.c
drivers/usb/wusbcore/security.c
drivers/usb/wusbcore/wa-nep.c
drivers/usb/wusbcore/wa-xfer.c
drivers/uwb/hwa-rc.c
drivers/watchdog/pcwd_usb.c
include/linux/bcma/bcma_regs.h
include/linux/extcon.h
include/linux/extcon/extcon-adc-jack.h
include/linux/phy/phy.h
include/linux/ulpi/driver.h
include/linux/ulpi/interface.h
include/linux/usb/composite.h
include/linux/usb/gadget.h
include/linux/usb_usual.h
include/soc/at91/atmel-sfr.h
include/uapi/linux/usb/functionfs.h

diff --git a/Documentation/ABI/testing/sysfs-class-led-trigger-usbport b/Documentation/ABI/testing/sysfs-class-led-trigger-usbport
new file mode 100644 (file)
index 0000000..f440e69
--- /dev/null
@@ -0,0 +1,12 @@
+What:          /sys/class/leds/<led>/ports/<port>
+Date:          September 2016
+KernelVersion: 4.9
+Contact:       linux-leds@vger.kernel.org
+               linux-usb@vger.kernel.org
+Description:
+               Every dir entry represents a single USB port that can be
+               selected for the USB port trigger. Selecting ports makes trigger
+               observing them for any connected devices and lighting on LED if
+               there are any.
+               Echoing "1" value selects USB port. Echoing "0" unselects it.
+               Current state can be also read.
diff --git a/Documentation/devicetree/bindings/extcon/qcom,pm8941-misc.txt b/Documentation/devicetree/bindings/extcon/qcom,pm8941-misc.txt
new file mode 100644 (file)
index 0000000..35383ad
--- /dev/null
@@ -0,0 +1,41 @@
+Qualcomm's PM8941 USB ID Extcon device
+
+Some Qualcomm PMICs have a "misc" module that can be used to detect when
+the USB ID pin has been pulled low or high.
+
+PROPERTIES
+
+- compatible:
+    Usage: required
+    Value type: <string>
+    Definition: Should contain "qcom,pm8941-misc";
+
+- reg:
+    Usage: required
+    Value type: <u32>
+    Definition: Should contain the offset to the misc address space
+
+- interrupts:
+    Usage: required
+    Value type: <prop-encoded-array>
+    Definition: Should contain the usb id interrupt
+
+- interrupt-names:
+    Usage: required
+    Value type: <stringlist>
+    Definition: Should contain the string "usb_id" for the usb id interrupt
+
+Example:
+
+       pmic {
+               usb_id: misc@900 {
+                       compatible = "qcom,pm8941-misc";
+                       reg = <0x900>;
+                       interrupts = <0x0 0x9 0 IRQ_TYPE_EDGE_BOTH>;
+                       interrupt-names = "usb_id";
+               };
+       }
+
+       usb-controller {
+               extcon = <&usb_id>;
+       };
diff --git a/Documentation/devicetree/bindings/phy/bcm-ns-usb3-phy.txt b/Documentation/devicetree/bindings/phy/bcm-ns-usb3-phy.txt
new file mode 100644 (file)
index 0000000..09aeba9
--- /dev/null
@@ -0,0 +1,23 @@
+Driver for Broadcom Northstar USB 3.0 PHY
+
+Required properties:
+
+- compatible: one of: "brcm,ns-ax-usb3-phy", "brcm,ns-bx-usb3-phy".
+- reg: register mappings for DMP (Device Management Plugin) and ChipCommon B
+       MMI.
+- reg-names: "dmp" and "ccb-mii"
+
+Initialization of USB 3.0 PHY depends on Northstar version. There are currently
+three known series: Ax, Bx and Cx.
+Known A0: BCM4707 rev 0
+Known B0: BCM4707 rev 4, BCM53573 rev 2
+Known B1: BCM4707 rev 6
+Known C0: BCM47094 rev 0
+
+Example:
+       usb3-phy {
+               compatible = "brcm,ns-ax-usb3-phy";
+               reg = <0x18105000 0x1000>, <0x18003000 0x1000>;
+               reg-names = "dmp", "ccb-mii";
+               #phy-cells = <0>;
+       };
index 379b84a..1d25b04 100644 (file)
@@ -12,6 +12,16 @@ Required properties:
 - interrupts: Should contain phy interrupt
 - fsl,anatop: phandle for anatop register, it is only for imx6 SoC series
 
+Optional properties:
+- fsl,tx-cal-45-dn-ohms: Integer [30-55]. Resistance (in ohms) of switchable
+  high-speed trimming resistor connected in parallel with the 45 ohm resistor
+  that terminates the DN output signal. Default: 45
+- fsl,tx-cal-45-dp-ohms: Integer [30-55]. Resistance (in ohms) of switchable
+  high-speed trimming resistor connected in parallel with the 45 ohm resistor
+  that terminates the DP output signal. Default: 45
+- fsl,tx-d-cal: Integer [79-119]. Current trimming value (as a percentage) of
+  the 17.78mA TX reference current. Default: 100
+
 Example:
 usbphy1: usbphy@020c9000 {
        compatible = "fsl,imx6q-usbphy", "fsl,imx23-usbphy";
diff --git a/Documentation/devicetree/bindings/phy/phy-rockchip-inno-usb2.txt b/Documentation/devicetree/bindings/phy/phy-rockchip-inno-usb2.txt
new file mode 100644 (file)
index 0000000..3c29c77
--- /dev/null
@@ -0,0 +1,64 @@
+ROCKCHIP USB2.0 PHY WITH INNO IP BLOCK
+
+Required properties (phy (parent) node):
+ - compatible : should be one of the listed compatibles:
+       * "rockchip,rk3366-usb2phy"
+       * "rockchip,rk3399-usb2phy"
+ - reg : the address offset of grf for usb-phy configuration.
+ - #clock-cells : should be 0.
+ - clock-output-names : specify the 480m output clock name.
+
+Optional properties:
+ - clocks : phandle + phy specifier pair, for the input clock of phy.
+ - clock-names : input clock name of phy, must be "phyclk".
+
+Required nodes : a sub-node is required for each port the phy provides.
+                The sub-node name is used to identify host or otg port,
+                and shall be the following entries:
+       * "otg-port" : the name of otg port.
+       * "host-port" : the name of host port.
+
+Required properties (port (child) node):
+ - #phy-cells : must be 0. See ./phy-bindings.txt for details.
+ - interrupts : specify an interrupt for each entry in interrupt-names.
+ - interrupt-names : a list which shall be the following entries:
+       * "otg-id" : for the otg id interrupt.
+       * "otg-bvalid" : for the otg vbus interrupt.
+       * "linestate" : for the host/otg linestate interrupt.
+
+Optional properties:
+ - phy-supply : phandle to a regulator that provides power to VBUS.
+               See ./phy-bindings.txt for details.
+
+Example:
+
+grf: syscon@ff770000 {
+       compatible = "rockchip,rk3366-grf", "syscon", "simple-mfd";
+       #address-cells = <1>;
+       #size-cells = <1>;
+
+...
+
+       u2phy: usb2-phy@700 {
+               compatible = "rockchip,rk3366-usb2phy";
+               reg = <0x700 0x2c>;
+               #clock-cells = <0>;
+               clock-output-names = "sclk_otgphy0_480m";
+
+               u2phy_otg: otg-port {
+                       #phy-cells = <0>;
+                       interrupts = <GIC_SPI 93 IRQ_TYPE_LEVEL_HIGH>,
+                                    <GIC_SPI 94 IRQ_TYPE_LEVEL_HIGH>,
+                                    <GIC_SPI 95 IRQ_TYPE_LEVEL_HIGH>;
+                       interrupt-names = "otg-id", "otg-bvalid", "linestate";
+                       status = "okay";
+               };
+
+               u2phy_host: host-port {
+                       #phy-cells = <0>;
+                       interrupts = <GIC_SPI 96 IRQ_TYPE_LEVEL_HIGH>;
+                       interrupt-names = "linestate";
+                       status = "okay";
+               };
+       };
+};
diff --git a/Documentation/devicetree/bindings/phy/phy-rockchip-typec.txt b/Documentation/devicetree/bindings/phy/phy-rockchip-typec.txt
new file mode 100644 (file)
index 0000000..6ea867e
--- /dev/null
@@ -0,0 +1,101 @@
+* ROCKCHIP type-c PHY
+---------------------
+
+Required properties:
+ - compatible : must be "rockchip,rk3399-typec-phy"
+ - reg: Address and length of the usb phy control register set
+ - rockchip,grf : phandle to the syscon managing the "general
+   register files"
+ - clocks : phandle + clock specifier for the phy clocks
+ - clock-names : string, clock name, must be "tcpdcore", "tcpdphy-ref";
+ - assigned-clocks: main clock, should be <&cru SCLK_UPHY0_TCPDCORE> or
+                   <&cru SCLK_UPHY1_TCPDCORE>;
+ - assigned-clock-rates : the phy core clk frequency, shall be: 50000000
+ - resets : a list of phandle + reset specifier pairs
+ - reset-names : string reset name, must be:
+                "uphy", "uphy-pipe", "uphy-tcphy"
+ - extcon : extcon specifier for the Power Delivery
+
+Note, there are 2 type-c phys for RK3399, and they are almost identical, except
+these registers(description below), every register node contains 3 sections:
+offset, enable bit, write mask bit.
+ - rockchip,typec-conn-dir : the register of type-c connector direction,
+   for type-c phy0, it must be <0xe580 0 16>;
+   for type-c phy1, it must be <0xe58c 0 16>;
+ - rockchip,usb3tousb2-en : the register of type-c force usb3 to usb2 enable
+   control.
+   for type-c phy0, it must be <0xe580 3 19>;
+   for type-c phy1, it must be <0xe58c 3 19>;
+ - rockchip,external-psm : the register of type-c phy external psm clock
+   selection.
+   for type-c phy0, it must be <0xe588 14 30>;
+   for type-c phy1, it must be <0xe594 14 30>;
+ - rockchip,pipe-status : the register of type-c phy pipe status.
+   for type-c phy0, it must be <0xe5c0 0 0>;
+   for type-c phy1, it must be <0xe5c0 16 16>;
+
+Required nodes : a sub-node is required for each port the phy provides.
+                The sub-node name is used to identify dp or usb3 port,
+                and shall be the following entries:
+       * "dp-port" : the name of DP port.
+       * "usb3-port" : the name of USB3 port.
+
+Required properties (port (child) node):
+- #phy-cells : must be 0, See ./phy-bindings.txt for details.
+
+Example:
+       tcphy0: phy@ff7c0000 {
+               compatible = "rockchip,rk3399-typec-phy";
+               reg = <0x0 0xff7c0000 0x0 0x40000>;
+               rockchip,grf = <&grf>;
+               extcon = <&fusb0>;
+               clocks = <&cru SCLK_UPHY0_TCPDCORE>,
+                        <&cru SCLK_UPHY0_TCPDPHY_REF>;
+               clock-names = "tcpdcore", "tcpdphy-ref";
+               assigned-clocks = <&cru SCLK_UPHY0_TCPDCORE>;
+               assigned-clock-rates = <50000000>;
+               resets = <&cru SRST_UPHY0>,
+                        <&cru SRST_UPHY0_PIPE_L00>,
+                        <&cru SRST_P_UPHY0_TCPHY>;
+               reset-names = "uphy", "uphy-pipe", "uphy-tcphy";
+               rockchip,typec-conn-dir = <0xe580 0 16>;
+               rockchip,usb3tousb2-en = <0xe580 3 19>;
+               rockchip,external-psm = <0xe588 14 30>;
+               rockchip,pipe-status = <0xe5c0 0 0>;
+
+               tcphy0_dp: dp-port {
+                       #phy-cells = <0>;
+               };
+
+               tcphy0_usb3: usb3-port {
+                       #phy-cells = <0>;
+               };
+       };
+
+       tcphy1: phy@ff800000 {
+               compatible = "rockchip,rk3399-typec-phy";
+               reg = <0x0 0xff800000 0x0 0x40000>;
+               rockchip,grf = <&grf>;
+               extcon = <&fusb1>;
+               clocks = <&cru SCLK_UPHY1_TCPDCORE>,
+                        <&cru SCLK_UPHY1_TCPDPHY_REF>;
+               clock-names = "tcpdcore", "tcpdphy-ref";
+               assigned-clocks = <&cru SCLK_UPHY1_TCPDCORE>;
+               assigned-clock-rates = <50000000>;
+               resets = <&cru SRST_UPHY1>,
+                        <&cru SRST_UPHY1_PIPE_L00>,
+                        <&cru SRST_P_UPHY1_TCPHY>;
+               reset-names = "uphy", "uphy-pipe", "uphy-tcphy";
+               rockchip,typec-conn-dir = <0xe58c 0 16>;
+               rockchip,usb3tousb2-en = <0xe58c 3 19>;
+               rockchip,external-psm = <0xe594 14 30>;
+               rockchip,pipe-status = <0xe5c0 16 16>;
+
+               tcphy1_dp: dp-port {
+                       #phy-cells = <0>;
+               };
+
+               tcphy1_usb3: usb3-port {
+                       #phy-cells = <0>;
+               };
+       };
index 2281d6c..ace9cce 100644 (file)
@@ -5,6 +5,8 @@ This file provides information on what the device node for the R-Car generation
 
 Required properties:
 - compatible: "renesas,usb2-phy-r8a7795" if the device is a part of an R8A7795
+             SoC.
+             "renesas,usb2-phy-r8a7796" if the device is a part of an R8A7796
              SoC.
              "renesas,rcar-gen3-usb2-phy" for a generic R-Car Gen3 compatible device.
 
@@ -30,11 +32,11 @@ Example (R-Car H3):
                compatible = "renesas,usb2-phy-r8a7795", "renesas,rcar-gen3-usb2-phy";
                reg = <0 0xee080200 0 0x700>;
                interrupts = <GIC_SPI 108 IRQ_TYPE_LEVEL_HIGH>;
-               clocks = <&mstp7_clks R8A7795_CLK_EHCI0>;
+               clocks = <&cpg CPG_MOD 703>;
        };
 
        usb-phy@ee0a0200 {
                compatible = "renesas,usb2-phy-r8a7795", "renesas,rcar-gen3-usb2-phy";
                reg = <0 0xee0a0200 0 0x700>;
-               clocks = <&mstp7_clks R8A7795_CLK_EHCI0>;
+               clocks = <&cpg CPG_MOD 702>;
        };
diff --git a/Documentation/devicetree/bindings/phy/rockchip-pcie-phy.txt b/Documentation/devicetree/bindings/phy/rockchip-pcie-phy.txt
new file mode 100644 (file)
index 0000000..0f6222a
--- /dev/null
@@ -0,0 +1,31 @@
+Rockchip PCIE PHY
+-----------------------
+
+Required properties:
+ - compatible: rockchip,rk3399-pcie-phy
+ - #phy-cells: must be 0
+ - clocks: Must contain an entry in clock-names.
+       See ../clocks/clock-bindings.txt for details.
+ - clock-names: Must be "refclk"
+ - resets: Must contain an entry in reset-names.
+       See ../reset/reset.txt for details.
+ - reset-names: Must be "phy"
+
+Example:
+
+grf: syscon@ff770000 {
+       compatible = "rockchip,rk3399-grf", "syscon", "simple-mfd";
+       #address-cells = <1>;
+       #size-cells = <1>;
+
+       ...
+
+       pcie_phy: pcie-phy {
+               compatible = "rockchip,rk3399-pcie-phy";
+               #phy-cells = <0>;
+               clocks = <&cru SCLK_PCIEPHY_REF>;
+               clock-names = "refclk";
+               resets = <&cru SRST_PCIEPHY>;
+               reset-names = "phy";
+       };
+};
index cc6be96..57dc388 100644 (file)
@@ -27,6 +27,9 @@ Optional Properties:
 - clocks : phandle + clock specifier for the phy clocks
 - clock-names: string, clock name, must be "phyclk"
 - #clock-cells: for users of the phy-pll, should be 0
+- reset-names: Only allow the following entries:
+ - phy-reset
+- resets: Must contain an entry for each entry in reset-names.
 
 Example:
 
index 95736d7..287150d 100644 (file)
@@ -10,6 +10,7 @@ Required properties:
   * allwinner,sun8i-a23-usb-phy
   * allwinner,sun8i-a33-usb-phy
   * allwinner,sun8i-h3-usb-phy
+  * allwinner,sun50i-a64-usb-phy
 - reg : a list of offset + length pairs
 - reg-names :
   * "phy_ctrl"
index a3b3945..cd13e61 100644 (file)
@@ -31,6 +31,8 @@ OMAP USB2 PHY
 
 Required properties:
  - compatible: Should be "ti,omap-usb2"
+              Should be "ti,dra7x-usb2" for the 1st instance of USB2 PHY on
+              DRA7x
               Should be "ti,dra7x-usb2-phy2" for the 2nd instance of USB2 PHY
               in DRA7x
  - reg : Address and length of the register set for the device.
index 341dc67..0e03344 100644 (file)
@@ -81,6 +81,8 @@ i.mx specific properties
 - fsl,usbmisc: phandler of non-core register device, with one
   argument that indicate usb controller index
 - disable-over-current: disable over current detect
+- over-current-active-high: over current signal polarity is high active,
+  typically over current signal polarity is low active.
 - external-vbus-divider: enables off-chip resistor divider for Vbus
 
 Example:
index 20a68bf..7d16ebf 100644 (file)
@@ -26,7 +26,10 @@ Refer to phy/phy-bindings.txt for generic phy consumer properties
 - g-use-dma: enable dma usage in gadget driver.
 - g-rx-fifo-size: size of rx fifo size in gadget mode.
 - g-np-tx-fifo-size: size of non-periodic tx fifo size in gadget mode.
-- g-tx-fifo-size: size of periodic tx fifo per endpoint (except ep0) in gadget mode.
+
+Deprecated properties:
+- g-tx-fifo-size: size of periodic tx fifo per endpoint (except ep0)
+  in gadget mode.
 
 Example:
 
diff --git a/Documentation/devicetree/bindings/usb/dwc3-cavium.txt b/Documentation/devicetree/bindings/usb/dwc3-cavium.txt
new file mode 100644 (file)
index 0000000..710b782
--- /dev/null
@@ -0,0 +1,28 @@
+Cavium SuperSpeed DWC3 USB SoC controller
+
+Required properties:
+- compatible:  Should contain "cavium,octeon-7130-usb-uctl"
+
+Required child node:
+A child node must exist to represent the core DWC3 IP block. The name of
+the node is not important. The content of the node is defined in dwc3.txt.
+
+Example device node:
+
+                   uctl@1180069000000 {
+                           compatible = "cavium,octeon-7130-usb-uctl";
+                           reg = <0x00011800 0x69000000 0x00000000 0x00000100>;
+                           ranges;
+                           #address-cells = <0x00000002>;
+                           #size-cells = <0x00000002>;
+                           refclk-frequency = <0x05f5e100>;
+                           refclk-type-ss = "dlmc_ref_clk0";
+                           refclk-type-hs = "dlmc_ref_clk0";
+                           power = <0x00000002 0x00000002 0x00000001>;
+                           xhci@1690000000000 {
+                                   compatible = "cavium,octeon-7130-xhci", "synopsys,dwc3";
+                                   reg = <0x00016900 0x00000000 0x00000010 0x00000000>;
+                                   interrupt-parent = <0x00000010>;
+                                   interrupts = <0x00000009 0x00000004>;
+                           };
+                   };
index 7d7ce08..e3e6983 100644 (file)
@@ -13,7 +13,8 @@ Optional properties:
    in the array is expected to be a handle to the USB2/HS PHY and
    the second element is expected to be a handle to the USB3/SS PHY
  - phys: from the *Generic PHY* bindings
- - phy-names: from the *Generic PHY* bindings
+ - phy-names: from the *Generic PHY* bindings; supported names are "usb2-phy"
+       or "usb3-phy".
  - snps,usb3_lpm_capable: determines if platform is USB3 LPM capable
  - snps,disable_scramble_quirk: true when SW should disable data scrambling.
        Only really useful for FPGA builds.
@@ -39,6 +40,11 @@ Optional properties:
                        disabling the suspend signal to the PHY.
  - snps,dis_rxdet_inp3_quirk: when set core will disable receiver detection
                        in PHY P3 power state.
+ - snps,dis-u2-freeclk-exists-quirk: when set, clear the u2_freeclk_exists
+                       in GUSB2PHYCFG, specify that USB2 PHY doesn't provide
+                       a free-running PHY clock.
+ - snps,dis-del-phy-power-chg-quirk: when set core will change PHY power
+                       from P0 to P1/P2/P3 without delay.
  - snps,is-utmi-l1-suspend: true when DWC3 asserts output signal
                        utmi_l1_suspend_n, false when asserts utmi_sleep_n
  - snps,hird-threshold: HIRD threshold
index bba8257..bfadeb1 100644 (file)
@@ -11,6 +11,11 @@ Optional properties:
                        "peripheral" and "otg". In case this attribute isn't
                        passed via DT, USB DRD controllers should default to
                        OTG.
+ - phy_type: tells USB controllers that we want to configure the core to support
+                       a UTMI+ PHY with an 8- or 16-bit interface if UTMI+ is
+                       selected. Valid arguments are "utmi" and "utmi_wide".
+                       In case this isn't passed via DT, USB controllers should
+                       default to HW capability.
  - otg-rev: tells usb driver the release number of the OTG and EH supplement
                        with which the device and its descriptors are compliant,
                        in binary-coded decimal (i.e. 2.0 is 0200H). This
@@ -34,6 +39,7 @@ dwc3@4a030000 {
        usb-phy = <&usb2_phy>, <&usb3,phy>;
        maximum-speed = "super-speed";
        dr_mode = "otg";
+       phy_type = "utmi_wide";
        otg-rev = <0x0200>;
        adp-disable;
 };
index b604056..9e18e00 100644 (file)
@@ -9,6 +9,7 @@ Required properties:
        - "renesas,usbhs-r8a7793" for r8a7793 (R-Car M2-N) compatible device
        - "renesas,usbhs-r8a7794" for r8a7794 (R-Car E2) compatible device
        - "renesas,usbhs-r8a7795" for r8a7795 (R-Car H3) compatible device
+       - "renesas,usbhs-r8a7796" for r8a7796 (R-Car M3-W) compatible device
        - "renesas,rcar-gen2-usbhs" for R-Car Gen2 compatible device
        - "renesas,rcar-gen3-usbhs" for R-Car Gen3 compatible device
 
diff --git a/Documentation/devicetree/bindings/usb/rockchip,dwc3.txt b/Documentation/devicetree/bindings/usb/rockchip,dwc3.txt
new file mode 100644 (file)
index 0000000..0536a93
--- /dev/null
@@ -0,0 +1,59 @@
+Rockchip SuperSpeed DWC3 USB SoC controller
+
+Required properties:
+- compatible:  should contain "rockchip,rk3399-dwc3" for rk3399 SoC
+- clocks:      A list of phandle + clock-specifier pairs for the
+               clocks listed in clock-names
+- clock-names: Should contain the following:
+  "ref_clk"    Controller reference clk, have to be 24 MHz
+  "suspend_clk"        Controller suspend clk, have to be 24 MHz or 32 KHz
+  "bus_clk"    Master/Core clock, have to be >= 62.5 MHz for SS
+               operation and >= 30MHz for HS operation
+  "grf_clk"    Controller grf clk
+
+Required child node:
+A child node must exist to represent the core DWC3 IP block. The name of
+the node is not important. The content of the node is defined in dwc3.txt.
+
+Phy documentation is provided in the following places:
+Documentation/devicetree/bindings/phy/rockchip,dwc3-usb-phy.txt
+
+Example device nodes:
+
+       usbdrd3_0: usb@fe800000 {
+               compatible = "rockchip,rk3399-dwc3";
+               clocks = <&cru SCLK_USB3OTG0_REF>, <&cru SCLK_USB3OTG0_SUSPEND>,
+                        <&cru ACLK_USB3OTG0>, <&cru ACLK_USB3_GRF>;
+               clock-names = "ref_clk", "suspend_clk",
+                             "bus_clk", "grf_clk";
+               #address-cells = <2>;
+               #size-cells = <2>;
+               ranges;
+               status = "disabled";
+               usbdrd_dwc3_0: dwc3@fe800000 {
+                       compatible = "snps,dwc3";
+                       reg = <0x0 0xfe800000 0x0 0x100000>;
+                       interrupts = <GIC_SPI 105 IRQ_TYPE_LEVEL_HIGH>;
+                       dr_mode = "otg";
+                       status = "disabled";
+               };
+       };
+
+       usbdrd3_1: usb@fe900000 {
+               compatible = "rockchip,rk3399-dwc3";
+               clocks = <&cru SCLK_USB3OTG1_REF>, <&cru SCLK_USB3OTG1_SUSPEND>,
+                        <&cru ACLK_USB3OTG1>, <&cru ACLK_USB3_GRF>;
+               clock-names = "ref_clk", "suspend_clk",
+                             "bus_clk", "grf_clk";
+               #address-cells = <2>;
+               #size-cells = <2>;
+               ranges;
+               status = "disabled";
+               usbdrd_dwc3_1: dwc3@fe900000 {
+                       compatible = "snps,dwc3";
+                       reg = <0x0 0xfe900000 0x0 0x100000>;
+                       interrupts = <GIC_SPI 110 IRQ_TYPE_LEVEL_HIGH>;
+                       dr_mode = "otg";
+                       status = "disabled";
+               };
+       };
diff --git a/Documentation/devicetree/bindings/usb/usb4604.txt b/Documentation/devicetree/bindings/usb/usb4604.txt
new file mode 100644 (file)
index 0000000..82506d1
--- /dev/null
@@ -0,0 +1,19 @@
+SMSC USB4604 High-Speed Hub Controller
+
+Required properties:
+- compatible: Should be "smsc,usb4604"
+
+Optional properties:
+- reg: Specifies the i2c slave address, it is required and should be 0x2d
+       if I2C is used.
+- reset-gpios: Should specify GPIO for reset.
+- initial-mode: Should specify initial mode.
+                (1 for HUB mode, 2 for STANDBY mode)
+
+Examples:
+       usb-hub@2d {
+               compatible = "smsc,usb4604";
+               reg = <0x2d>;
+               reset-gpios = <&gpx3 5 1>;
+               initial-mode = <1>;
+       };
index 3539d4e..f1e27fa 100644 (file)
@@ -6,6 +6,7 @@ Required properties:
        "fsl,imx6q-usbmisc" for imx6q
        "fsl,vf610-usbmisc" for Vybrid vf610
        "fsl,imx6sx-usbmisc" for imx6sx
+       "fsl,imx7d-usbmisc" for imx7d
 - reg: Should contain registers location and length
 
 Examples:
index 0e28594..01085cd 100644 (file)
@@ -4248,6 +4248,8 @@ bytes respectively. Such letter suffixes can also be entirely omitted.
                                u = IGNORE_UAS (don't bind to the uas driver);
                                w = NO_WP_DETECT (don't test whether the
                                        medium is write-protected).
+                               y = ALWAYS_SYNC (issue a SYNCHRONIZE_CACHE
+                                       even if the device claims no cache)
                        Example: quirks=0419:aaf5:rl,0421:0433:rc
 
        user_debug=     [KNL,ARM]
diff --git a/Documentation/leds/ledtrig-usbport.txt b/Documentation/leds/ledtrig-usbport.txt
new file mode 100644 (file)
index 0000000..69f54bf
--- /dev/null
@@ -0,0 +1,41 @@
+USB port LED trigger
+====================
+
+This LED trigger can be used for signalling to the user a presence of USB device
+in a given port. It simply turns on LED when device appears and turns it off
+when it disappears.
+
+It requires selecting USB ports that should be observed. All available ones are
+listed as separated entries in a "ports" subdirectory. Selecting is handled by
+echoing "1" to a chosen port.
+
+Please note that this trigger allows selecting multiple USB ports for a single
+LED. This can be useful in two cases:
+
+1) Device with single USB LED and few physical ports
+
+In such a case LED will be turned on as long as there is at least one connected
+USB device.
+
+2) Device with a physical port handled by few controllers
+
+Some devices may have one controller per PHY standard. E.g. USB 3.0 physical
+port may be handled by ohci-platform, ehci-platform and xhci-hcd. If there is
+only one LED user will most likely want to assign ports from all 3 hubs.
+
+
+This trigger can be activated from user space on led class devices as shown
+below:
+
+  echo usbport > trigger
+
+This adds sysfs attributes to the LED that are documented in:
+Documentation/ABI/testing/sysfs-class-led-trigger-usbport
+
+Example use-case:
+
+  echo usbport > trigger
+  echo 1 > ports/usb1-port1
+  echo 1 > ports/usb2-port1
+  cat ports/usb1-port1
+  echo 0 > ports/usb1-port1
index 434a43d..d33c038 100644 (file)
@@ -12216,7 +12216,7 @@ S:      Maintained
 F:     drivers/net/usb/lan78xx.*
 
 USB MASS STORAGE DRIVER
-M:     Matthew Dharm <mdharm-usb@one-eyed-alien.net>
+M:     Alan Stern <stern@rowland.harvard.edu>
 L:     linux-usb@vger.kernel.org
 L:     usb-storage@lists.one-eyed-alien.net
 S:     Maintained
@@ -12300,6 +12300,7 @@ F:      drivers/net/usb/rtl8150.c
 USB SERIAL SUBSYSTEM
 M:     Johan Hovold <johan@kernel.org>
 L:     linux-usb@vger.kernel.org
+T:     git git://git.kernel.org/pub/scm/linux/kernel/git/johan/usb-serial.git
 S:     Maintained
 F:     Documentation/usb/usb-serial.txt
 F:     drivers/usb/serial/
index 5b0ef7b..5ce6d41 100644 (file)
@@ -185,10 +185,8 @@ static int bcm203x_probe(struct usb_interface *intf, const struct usb_device_id
        data->state = BCM203X_LOAD_MINIDRV;
 
        data->urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!data->urb) {
-               BT_ERR("Can't allocate URB");
+       if (!data->urb)
                return -ENOMEM;
-       }
 
        if (request_firmware(&firmware, "BCM2033-MD.hex", &udev->dev) < 0) {
                BT_ERR("Mini driver request failed");
index 3d89e60..04788d9 100644 (file)
@@ -96,6 +96,12 @@ config EXTCON_PALMAS
          Say Y here to enable support for USB peripheral and USB host
          detection by palmas usb.
 
+config EXTCON_QCOM_SPMI_MISC
+       tristate "Qualcomm USB extcon support"
+       help
+         Say Y here to enable SPMI PMIC based USB cable detection
+         support on Qualcomm PMICs such as PM8941.
+
 config EXTCON_RT8973A
        tristate "Richtek RT8973A EXTCON support"
        depends on I2C
index 972c813..31a0a99 100644 (file)
@@ -14,6 +14,7 @@ obj-$(CONFIG_EXTCON_MAX77693) += extcon-max77693.o
 obj-$(CONFIG_EXTCON_MAX77843)  += extcon-max77843.o
 obj-$(CONFIG_EXTCON_MAX8997)   += extcon-max8997.o
 obj-$(CONFIG_EXTCON_PALMAS)    += extcon-palmas.o
+obj-$(CONFIG_EXTCON_QCOM_SPMI_MISC) += extcon-qcom-spmi-misc.o
 obj-$(CONFIG_EXTCON_RT8973A)   += extcon-rt8973a.o
 obj-$(CONFIG_EXTCON_SM5502)    += extcon-sm5502.o
 obj-$(CONFIG_EXTCON_USB_GPIO)  += extcon-usb-gpio.o
index 44e48aa..bc53870 100644 (file)
@@ -3,6 +3,9 @@
  *
  * Analog Jack extcon driver with ADC-based detection capability.
  *
+ * Copyright (C) 2016 Samsung Electronics
+ * Chanwoo Choi <cw00.choi@samsung.com>
+ *
  * Copyright (C) 2012 Samsung Electronics
  * MyungJoo Ham <myungjoo.ham@samsung.com>
  *
@@ -58,7 +61,7 @@ static void adc_jack_handler(struct work_struct *work)
        struct adc_jack_data *data = container_of(to_delayed_work(work),
                        struct adc_jack_data,
                        handler);
-       u32 state = 0;
+       struct adc_jack_cond *def;
        int ret, adc_val;
        int i;
 
@@ -70,17 +73,18 @@ static void adc_jack_handler(struct work_struct *work)
 
        /* Get state from adc value with adc_conditions */
        for (i = 0; i < data->num_conditions; i++) {
-               struct adc_jack_cond *def = &data->adc_conditions[i];
-               if (!def->state)
-                       break;
+               def = &data->adc_conditions[i];
                if (def->min_adc <= adc_val && def->max_adc >= adc_val) {
-                       state = def->state;
-                       break;
+                       extcon_set_state_sync(data->edev, def->id, true);
+                       return;
                }
        }
-       /* if no def has met, it means state = 0 (no cables attached) */
 
-       extcon_set_state(data->edev, state);
+       /* Set the detached state if adc value is not included in the range */
+       for (i = 0; i < data->num_conditions; i++) {
+               def = &data->adc_conditions[i];
+               extcon_set_state_sync(data->edev, def->id, false);
+       }
 }
 
 static irqreturn_t adc_jack_irq_thread(int irq, void *_data)
@@ -114,16 +118,14 @@ static int adc_jack_probe(struct platform_device *pdev)
                return -ENOMEM;
        }
 
-       if (!pdata->adc_conditions ||
-                       !pdata->adc_conditions[0].state) {
+       if (!pdata->adc_conditions) {
                dev_err(&pdev->dev, "error: adc_conditions not defined.\n");
                return -EINVAL;
        }
        data->adc_conditions = pdata->adc_conditions;
 
        /* Check the length of array and set num_conditions */
-       for (i = 0; data->adc_conditions[i].state; i++)
-               ;
+       for (i = 0; data->adc_conditions[i].id != EXTCON_NONE; i++);
        data->num_conditions = i;
 
        data->chan = iio_channel_get(&pdev->dev, pdata->consumer_channel);
@@ -158,6 +160,7 @@ static int adc_jack_probe(struct platform_device *pdev)
        if (data->wakeup_source)
                device_init_wakeup(&pdev->dev, 1);
 
+       adc_jack_handler(&data->handler.work);
        return 0;
 }
 
index 1d8e0a5..56e6c4c 100644 (file)
@@ -183,7 +183,7 @@ static void arizona_extcon_hp_clamp(struct arizona_extcon_info *info,
                if (clamp)
                        val = ARIZONA_RMV_SHRT_HP1L;
                break;
-       };
+       }
 
        snd_soc_dapm_mutex_lock(arizona->dapm);
 
@@ -614,7 +614,7 @@ static irqreturn_t arizona_hpdet_irq(int irq, void *data)
        }
 
        /* If the cable was removed while measuring ignore the result */
-       ret = extcon_get_cable_state_(info->edev, EXTCON_MECHANICAL);
+       ret = extcon_get_state(info->edev, EXTCON_MECHANICAL);
        if (ret < 0) {
                dev_err(arizona->dev, "Failed to check cable state: %d\n",
                        ret);
@@ -649,7 +649,7 @@ static irqreturn_t arizona_hpdet_irq(int irq, void *data)
        else
                report = EXTCON_JACK_HEADPHONE;
 
-       ret = extcon_set_cable_state_(info->edev, report, true);
+       ret = extcon_set_state_sync(info->edev, report, true);
        if (ret != 0)
                dev_err(arizona->dev, "Failed to report HP/line: %d\n",
                        ret);
@@ -732,7 +732,7 @@ err:
                           ARIZONA_ACCDET_MODE_MASK, ARIZONA_ACCDET_MODE_MIC);
 
        /* Just report headphone */
-       ret = extcon_set_cable_state_(info->edev, EXTCON_JACK_HEADPHONE, true);
+       ret = extcon_set_state_sync(info->edev, EXTCON_JACK_HEADPHONE, true);
        if (ret != 0)
                dev_err(arizona->dev, "Failed to report headphone: %d\n", ret);
 
@@ -789,7 +789,7 @@ err:
                           ARIZONA_ACCDET_MODE_MASK, ARIZONA_ACCDET_MODE_MIC);
 
        /* Just report headphone */
-       ret = extcon_set_cable_state_(info->edev, EXTCON_JACK_HEADPHONE, true);
+       ret = extcon_set_state_sync(info->edev, EXTCON_JACK_HEADPHONE, true);
        if (ret != 0)
                dev_err(arizona->dev, "Failed to report headphone: %d\n", ret);
 
@@ -829,7 +829,7 @@ static void arizona_micd_detect(struct work_struct *work)
        mutex_lock(&info->lock);
 
        /* If the cable was removed while measuring ignore the result */
-       ret = extcon_get_cable_state_(info->edev, EXTCON_MECHANICAL);
+       ret = extcon_get_state(info->edev, EXTCON_MECHANICAL);
        if (ret < 0) {
                dev_err(arizona->dev, "Failed to check cable state: %d\n",
                                ret);
@@ -914,7 +914,7 @@ static void arizona_micd_detect(struct work_struct *work)
 
                arizona_identify_headphone(info);
 
-               ret = extcon_set_cable_state_(info->edev,
+               ret = extcon_set_state_sync(info->edev,
                                              EXTCON_JACK_MICROPHONE, true);
                if (ret != 0)
                        dev_err(arizona->dev, "Headset report failed: %d\n",
@@ -1108,7 +1108,7 @@ static irqreturn_t arizona_jackdet(int irq, void *data)
 
        if (info->last_jackdet == present) {
                dev_dbg(arizona->dev, "Detected jack\n");
-               ret = extcon_set_cable_state_(info->edev,
+               ret = extcon_set_state_sync(info->edev,
                                              EXTCON_MECHANICAL, true);
 
                if (ret != 0)
@@ -1149,10 +1149,13 @@ static irqreturn_t arizona_jackdet(int irq, void *data)
                                         info->micd_ranges[i].key, 0);
                input_sync(info->input);
 
-               ret = extcon_update_state(info->edev, 0xffffffff, 0);
-               if (ret != 0)
-                       dev_err(arizona->dev, "Removal report failed: %d\n",
-                               ret);
+               for (i = 0; i < ARRAY_SIZE(arizona_cable) - 1; i++) {
+                       ret = extcon_set_state_sync(info->edev,
+                                       arizona_cable[i], false);
+                       if (ret != 0)
+                               dev_err(arizona->dev,
+                                       "Removal report failed: %d\n", ret);
+               }
 
                regmap_update_bits(arizona->regmap,
                                   ARIZONA_JACK_DETECT_DEBOUNCE,
index fd55c2f..42f41e8 100644 (file)
@@ -189,19 +189,19 @@ static int axp288_handle_chrg_det_event(struct axp288_extcon_info *info)
 
        switch (chrg_type) {
        case DET_STAT_SDP:
-               dev_dbg(info->dev, "sdp cable is connecetd\n");
+               dev_dbg(info->dev, "sdp cable is connected\n");
                notify_otg = true;
                notify_charger = true;
                cable = EXTCON_CHG_USB_SDP;
                break;
        case DET_STAT_CDP:
-               dev_dbg(info->dev, "cdp cable is connecetd\n");
+               dev_dbg(info->dev, "cdp cable is connected\n");
                notify_otg = true;
                notify_charger = true;
                cable = EXTCON_CHG_USB_CDP;
                break;
        case DET_STAT_DCP:
-               dev_dbg(info->dev, "dcp cable is connecetd\n");
+               dev_dbg(info->dev, "dcp cable is connected\n");
                notify_charger = true;
                cable = EXTCON_CHG_USB_DCP;
                break;
@@ -226,7 +226,7 @@ notify_otg:
        }
 
        if (notify_charger)
-               extcon_set_cable_state_(info->edev, cable, vbus_attach);
+               extcon_set_state_sync(info->edev, cable, vbus_attach);
 
        /* Clear the flags on disconnect event */
        if (!vbus_attach)
index d023789..ebed22f 100644 (file)
@@ -49,7 +49,8 @@ static void gpio_extcon_work(struct work_struct *work)
        state = gpiod_get_value_cansleep(data->id_gpiod);
        if (data->pdata->gpio_active_low)
                state = !state;
-       extcon_set_state(data->edev, state);
+
+       extcon_set_state_sync(data->edev, data->pdata->extcon_id, state);
 }
 
 static irqreturn_t gpio_irq_handler(int irq, void *dev_id)
index 852a711..12e26c4 100644 (file)
@@ -3,7 +3,7 @@
  *
  * Copyright (C) 2013,2014 Samsung Electronics
  * Chanwoo Choi <cw00.choi@samsung.com>
- * Krzysztof Kozlowski <k.kozlowski@samsung.com>
+ * Krzysztof Kozlowski <krzk@kernel.org>
  *
  * This program is free software; you can redistribute it and/or modify
  * it under the terms of the GNU General Public License as published by
@@ -357,7 +357,7 @@ static int max14577_muic_jig_handler(struct max14577_muic_info *info,
        if (ret < 0)
                return ret;
 
-       extcon_set_cable_state_(info->edev, EXTCON_JIG, attached);
+       extcon_set_state_sync(info->edev, EXTCON_JIG, attached);
 
        return 0;
 }
@@ -454,24 +454,24 @@ static int max14577_muic_chg_handler(struct max14577_muic_info *info)
                if (ret < 0)
                        return ret;
 
-               extcon_set_cable_state_(info->edev, EXTCON_USB, attached);
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SDP,
+               extcon_set_state_sync(info->edev, EXTCON_USB, attached);
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SDP,
                                        attached);
                break;
        case MAX14577_CHARGER_TYPE_DEDICATED_CHG:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_DCP,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_DCP,
                                        attached);
                break;
        case MAX14577_CHARGER_TYPE_DOWNSTREAM_PORT:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_CDP,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_CDP,
                                        attached);
                break;
        case MAX14577_CHARGER_TYPE_SPECIAL_500MA:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SLOW,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SLOW,
                                        attached);
                break;
        case MAX14577_CHARGER_TYPE_SPECIAL_1A:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_FAST,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_FAST,
                                        attached);
                break;
        case MAX14577_CHARGER_TYPE_NONE:
@@ -791,6 +791,6 @@ static struct platform_driver max14577_muic_driver = {
 module_platform_driver(max14577_muic_driver);
 
 MODULE_DESCRIPTION("Maxim 14577/77836 Extcon driver");
-MODULE_AUTHOR("Chanwoo Choi <cw00.choi@samsung.com>, Krzysztof Kozlowski <k.kozlowski@samsung.com>");
+MODULE_AUTHOR("Chanwoo Choi <cw00.choi@samsung.com>, Krzysztof Kozlowski <krzk@kernel.org>");
 MODULE_LICENSE("GPL");
 MODULE_ALIAS("platform:extcon-max14577");
index c24abec..533e16a 100644 (file)
@@ -39,16 +39,16 @@ static irqreturn_t max3355_id_irq(int irq, void *dev_id)
                 * As we don't have event for USB peripheral cable attached,
                 * we simulate USB peripheral attach here.
                 */
-               extcon_set_cable_state_(data->edev, EXTCON_USB_HOST, false);
-               extcon_set_cable_state_(data->edev, EXTCON_USB, true);
+               extcon_set_state_sync(data->edev, EXTCON_USB_HOST, false);
+               extcon_set_state_sync(data->edev, EXTCON_USB, true);
        } else {
                /*
                 * ID = 0 means USB HOST cable attached.
                 * As we don't have event for USB peripheral cable detached,
                 * we simulate USB peripheral detach here.
                 */
-               extcon_set_cable_state_(data->edev, EXTCON_USB, false);
-               extcon_set_cable_state_(data->edev, EXTCON_USB_HOST, true);
+               extcon_set_state_sync(data->edev, EXTCON_USB, false);
+               extcon_set_state_sync(data->edev, EXTCON_USB_HOST, true);
        }
 
        return IRQ_HANDLED;
index f17cb76..68dbcb8 100644 (file)
@@ -505,8 +505,8 @@ static int max77693_muic_dock_handler(struct max77693_muic_info *info,
                if (ret < 0)
                        return ret;
 
-               extcon_set_cable_state_(info->edev, EXTCON_DOCK, attached);
-               extcon_set_cable_state_(info->edev, EXTCON_DISP_MHL, attached);
+               extcon_set_state_sync(info->edev, EXTCON_DOCK, attached);
+               extcon_set_state_sync(info->edev, EXTCON_DISP_MHL, attached);
                goto out;
        case MAX77693_MUIC_ADC_AUDIO_MODE_REMOTE:       /* Dock-Desk */
                dock_id = EXTCON_DOCK;
@@ -514,8 +514,8 @@ static int max77693_muic_dock_handler(struct max77693_muic_info *info,
        case MAX77693_MUIC_ADC_AV_CABLE_NOLOAD:         /* Dock-Audio */
                dock_id = EXTCON_DOCK;
                if (!attached) {
-                       extcon_set_cable_state_(info->edev, EXTCON_USB, false);
-                       extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SDP,
+                       extcon_set_state_sync(info->edev, EXTCON_USB, false);
+                       extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SDP,
                                                false);
                }
                break;
@@ -530,7 +530,7 @@ static int max77693_muic_dock_handler(struct max77693_muic_info *info,
                                        attached);
        if (ret < 0)
                return ret;
-       extcon_set_cable_state_(info->edev, dock_id, attached);
+       extcon_set_state_sync(info->edev, dock_id, attached);
 
 out:
        return 0;
@@ -596,7 +596,7 @@ static int max77693_muic_adc_ground_handler(struct max77693_muic_info *info)
                                                attached);
                if (ret < 0)
                        return ret;
-               extcon_set_cable_state_(info->edev, EXTCON_USB_HOST, attached);
+               extcon_set_state_sync(info->edev, EXTCON_USB_HOST, attached);
                break;
        case MAX77693_MUIC_GND_AV_CABLE_LOAD:
                /* Audio Video Cable with load, PATH:AUDIO */
@@ -604,14 +604,14 @@ static int max77693_muic_adc_ground_handler(struct max77693_muic_info *info)
                                                attached);
                if (ret < 0)
                        return ret;
-               extcon_set_cable_state_(info->edev, EXTCON_USB, attached);
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SDP,
+               extcon_set_state_sync(info->edev, EXTCON_USB, attached);
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SDP,
                                        attached);
                break;
        case MAX77693_MUIC_GND_MHL:
        case MAX77693_MUIC_GND_MHL_VB:
                /* MHL or MHL with USB/TA cable */
-               extcon_set_cable_state_(info->edev, EXTCON_DISP_MHL, attached);
+               extcon_set_state_sync(info->edev, EXTCON_DISP_MHL, attached);
                break;
        default:
                dev_err(info->dev, "failed to detect %s cable of gnd type\n",
@@ -653,7 +653,7 @@ static int max77693_muic_jig_handler(struct max77693_muic_info *info,
        if (ret < 0)
                return ret;
 
-       extcon_set_cable_state_(info->edev, EXTCON_JIG, attached);
+       extcon_set_state_sync(info->edev, EXTCON_JIG, attached);
 
        return 0;
 }
@@ -807,10 +807,10 @@ static int max77693_muic_chg_handler(struct max77693_muic_info *info)
                         * - Support charging through micro-usb port without
                         *   data connection
                         */
-                       extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_DCP,
+                       extcon_set_state_sync(info->edev, EXTCON_CHG_USB_DCP,
                                                attached);
                        if (!cable_attached)
-                               extcon_set_cable_state_(info->edev,
+                               extcon_set_state_sync(info->edev,
                                        EXTCON_DISP_MHL, cable_attached);
                        break;
                }
@@ -834,13 +834,13 @@ static int max77693_muic_chg_handler(struct max77693_muic_info *info)
                         * - Support charging through micro-usb port without
                         *   data connection.
                         */
-                       extcon_set_cable_state_(info->edev, EXTCON_USB,
+                       extcon_set_state_sync(info->edev, EXTCON_USB,
                                                attached);
-                       extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SDP,
+                       extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SDP,
                                                attached);
 
                        if (!cable_attached)
-                               extcon_set_cable_state_(info->edev, EXTCON_DOCK,
+                               extcon_set_state_sync(info->edev, EXTCON_DOCK,
                                                        cable_attached);
                        break;
                case MAX77693_MUIC_ADC_RESERVED_ACC_3:          /* Dock-Smart */
@@ -869,9 +869,9 @@ static int max77693_muic_chg_handler(struct max77693_muic_info *info)
                        if (ret < 0)
                                return ret;
 
-                       extcon_set_cable_state_(info->edev, EXTCON_DOCK,
+                       extcon_set_state_sync(info->edev, EXTCON_DOCK,
                                                attached);
-                       extcon_set_cable_state_(info->edev, EXTCON_DISP_MHL,
+                       extcon_set_state_sync(info->edev, EXTCON_DISP_MHL,
                                                attached);
                        break;
                }
@@ -905,28 +905,28 @@ static int max77693_muic_chg_handler(struct max77693_muic_info *info)
                        if (ret < 0)
                                return ret;
 
-                       extcon_set_cable_state_(info->edev, EXTCON_USB,
+                       extcon_set_state_sync(info->edev, EXTCON_USB,
                                                attached);
-                       extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SDP,
+                       extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SDP,
                                                attached);
                        break;
                case MAX77693_CHARGER_TYPE_DEDICATED_CHG:
                        /* Only TA cable */
-                       extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_DCP,
+                       extcon_set_state_sync(info->edev, EXTCON_CHG_USB_DCP,
                                                attached);
                        break;
                }
                break;
        case MAX77693_CHARGER_TYPE_DOWNSTREAM_PORT:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_CDP,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_CDP,
                                        attached);
                break;
        case MAX77693_CHARGER_TYPE_APPLE_500MA:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SLOW,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SLOW,
                                        attached);
                break;
        case MAX77693_CHARGER_TYPE_APPLE_1A_2A:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_FAST,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_FAST,
                                        attached);
                break;
        case MAX77693_CHARGER_TYPE_DEAD_BATTERY:
index b188bd6..5d11fdf 100644 (file)
@@ -346,7 +346,7 @@ static int max77843_muic_adc_gnd_handler(struct max77843_muic_info *info)
                if (ret < 0)
                        return ret;
 
-               extcon_set_cable_state_(info->edev, EXTCON_USB_HOST, attached);
+               extcon_set_state_sync(info->edev, EXTCON_USB_HOST, attached);
                break;
        case MAX77843_MUIC_GND_MHL_VB:
        case MAX77843_MUIC_GND_MHL:
@@ -356,7 +356,7 @@ static int max77843_muic_adc_gnd_handler(struct max77843_muic_info *info)
                if (ret < 0)
                        return ret;
 
-               extcon_set_cable_state_(info->edev, EXTCON_DISP_MHL, attached);
+               extcon_set_state_sync(info->edev, EXTCON_DISP_MHL, attached);
                break;
        default:
                dev_err(info->dev, "failed to detect %s accessory(gnd:0x%x)\n",
@@ -392,7 +392,7 @@ static int max77843_muic_jig_handler(struct max77843_muic_info *info,
        if (ret < 0)
                return ret;
 
-       extcon_set_cable_state_(info->edev, EXTCON_JIG, attached);
+       extcon_set_state_sync(info->edev, EXTCON_JIG, attached);
 
        return 0;
 }
@@ -486,8 +486,8 @@ static int max77843_muic_chg_handler(struct max77843_muic_info *info)
                if (ret < 0)
                        return ret;
 
-               extcon_set_cable_state_(info->edev, EXTCON_USB, attached);
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SDP,
+               extcon_set_state_sync(info->edev, EXTCON_USB, attached);
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SDP,
                                        attached);
                break;
        case MAX77843_MUIC_CHG_DOWNSTREAM:
@@ -497,7 +497,7 @@ static int max77843_muic_chg_handler(struct max77843_muic_info *info)
                if (ret < 0)
                        return ret;
 
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_CDP,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_CDP,
                                        attached);
                break;
        case MAX77843_MUIC_CHG_DEDICATED:
@@ -507,7 +507,7 @@ static int max77843_muic_chg_handler(struct max77843_muic_info *info)
                if (ret < 0)
                        return ret;
 
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_DCP,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_DCP,
                                        attached);
                break;
        case MAX77843_MUIC_CHG_SPECIAL_500MA:
@@ -517,7 +517,7 @@ static int max77843_muic_chg_handler(struct max77843_muic_info *info)
                if (ret < 0)
                        return ret;
 
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SLOW,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SLOW,
                                        attached);
                break;
        case MAX77843_MUIC_CHG_SPECIAL_1A:
@@ -527,7 +527,7 @@ static int max77843_muic_chg_handler(struct max77843_muic_info *info)
                if (ret < 0)
                        return ret;
 
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_FAST,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_FAST,
                                        attached);
                break;
        case MAX77843_MUIC_CHG_GND:
@@ -536,10 +536,10 @@ static int max77843_muic_chg_handler(struct max77843_muic_info *info)
 
                /* Charger cable on MHL accessory is attach or detach */
                if (gnd_type == MAX77843_MUIC_GND_MHL_VB)
-                       extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_DCP,
+                       extcon_set_state_sync(info->edev, EXTCON_CHG_USB_DCP,
                                                true);
                else if (gnd_type == MAX77843_MUIC_GND_MHL)
-                       extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_DCP,
+                       extcon_set_state_sync(info->edev, EXTCON_CHG_USB_DCP,
                                                false);
                break;
        case MAX77843_MUIC_CHG_NONE:
index 9a89320..4a0612f 100644 (file)
@@ -331,11 +331,11 @@ static int max8997_muic_handle_usb(struct max8997_muic_info *info,
 
        switch (usb_type) {
        case MAX8997_USB_HOST:
-               extcon_set_cable_state_(info->edev, EXTCON_USB_HOST, attached);
+               extcon_set_state_sync(info->edev, EXTCON_USB_HOST, attached);
                break;
        case MAX8997_USB_DEVICE:
-               extcon_set_cable_state_(info->edev, EXTCON_USB, attached);
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SDP,
+               extcon_set_state_sync(info->edev, EXTCON_USB, attached);
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SDP,
                                        attached);
                break;
        default:
@@ -361,7 +361,7 @@ static int max8997_muic_handle_dock(struct max8997_muic_info *info,
        switch (cable_type) {
        case MAX8997_MUIC_ADC_AV_CABLE_NOLOAD:
        case MAX8997_MUIC_ADC_FACTORY_MODE_UART_ON:
-               extcon_set_cable_state_(info->edev, EXTCON_DOCK, attached);
+               extcon_set_state_sync(info->edev, EXTCON_DOCK, attached);
                break;
        default:
                dev_err(info->dev, "failed to detect %s dock device\n",
@@ -384,7 +384,7 @@ static int max8997_muic_handle_jig_uart(struct max8997_muic_info *info,
                return ret;
        }
 
-       extcon_set_cable_state_(info->edev, EXTCON_JIG, attached);
+       extcon_set_state_sync(info->edev, EXTCON_JIG, attached);
 
        return 0;
 }
@@ -406,7 +406,7 @@ static int max8997_muic_adc_handler(struct max8997_muic_info *info)
                        return ret;
                break;
        case MAX8997_MUIC_ADC_MHL:
-               extcon_set_cable_state_(info->edev, EXTCON_DISP_MHL, attached);
+               extcon_set_state_sync(info->edev, EXTCON_DISP_MHL, attached);
                break;
        case MAX8997_MUIC_ADC_FACTORY_MODE_USB_OFF:
        case MAX8997_MUIC_ADC_FACTORY_MODE_USB_ON:
@@ -489,19 +489,19 @@ static int max8997_muic_chg_handler(struct max8997_muic_info *info)
                }
                break;
        case MAX8997_CHARGER_TYPE_DOWNSTREAM_PORT:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_CDP,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_CDP,
                                        attached);
                break;
        case MAX8997_CHARGER_TYPE_DEDICATED_CHG:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_DCP,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_DCP,
                                        attached);
                break;
        case MAX8997_CHARGER_TYPE_500MA:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SLOW,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SLOW,
                                        attached);
                break;
        case MAX8997_CHARGER_TYPE_1A:
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_FAST,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_FAST,
                                        attached);
                break;
        default:
index caff46c..634ba70 100644 (file)
@@ -61,7 +61,7 @@ static irqreturn_t palmas_vbus_irq_handler(int irq, void *_palmas_usb)
        if (vbus_line_state & PALMAS_INT3_LINE_STATE_VBUS) {
                if (palmas_usb->linkstat != PALMAS_USB_STATE_VBUS) {
                        palmas_usb->linkstat = PALMAS_USB_STATE_VBUS;
-                       extcon_set_cable_state_(edev, EXTCON_USB, true);
+                       extcon_set_state_sync(edev, EXTCON_USB, true);
                        dev_info(palmas_usb->dev, "USB cable is attached\n");
                } else {
                        dev_dbg(palmas_usb->dev,
@@ -70,7 +70,7 @@ static irqreturn_t palmas_vbus_irq_handler(int irq, void *_palmas_usb)
        } else if (!(vbus_line_state & PALMAS_INT3_LINE_STATE_VBUS)) {
                if (palmas_usb->linkstat == PALMAS_USB_STATE_VBUS) {
                        palmas_usb->linkstat = PALMAS_USB_STATE_DISCONNECT;
-                       extcon_set_cable_state_(edev, EXTCON_USB, false);
+                       extcon_set_state_sync(edev, EXTCON_USB, false);
                        dev_info(palmas_usb->dev, "USB cable is detached\n");
                } else {
                        dev_dbg(palmas_usb->dev,
@@ -98,7 +98,7 @@ static irqreturn_t palmas_id_irq_handler(int irq, void *_palmas_usb)
                        PALMAS_USB_ID_INT_LATCH_CLR,
                        PALMAS_USB_ID_INT_EN_HI_CLR_ID_GND);
                palmas_usb->linkstat = PALMAS_USB_STATE_ID;
-               extcon_set_cable_state_(edev, EXTCON_USB_HOST, true);
+               extcon_set_state_sync(edev, EXTCON_USB_HOST, true);
                dev_info(palmas_usb->dev, "USB-HOST cable is attached\n");
        } else if ((set & PALMAS_USB_ID_INT_SRC_ID_FLOAT) &&
                                (id_src & PALMAS_USB_ID_INT_SRC_ID_FLOAT)) {
@@ -106,17 +106,17 @@ static irqreturn_t palmas_id_irq_handler(int irq, void *_palmas_usb)
                        PALMAS_USB_ID_INT_LATCH_CLR,
                        PALMAS_USB_ID_INT_EN_HI_CLR_ID_FLOAT);
                palmas_usb->linkstat = PALMAS_USB_STATE_DISCONNECT;
-               extcon_set_cable_state_(edev, EXTCON_USB_HOST, false);
+               extcon_set_state_sync(edev, EXTCON_USB_HOST, false);
                dev_info(palmas_usb->dev, "USB-HOST cable is detached\n");
        } else if ((palmas_usb->linkstat == PALMAS_USB_STATE_ID) &&
                                (!(set & PALMAS_USB_ID_INT_SRC_ID_GND))) {
                palmas_usb->linkstat = PALMAS_USB_STATE_DISCONNECT;
-               extcon_set_cable_state_(edev, EXTCON_USB_HOST, false);
+               extcon_set_state_sync(edev, EXTCON_USB_HOST, false);
                dev_info(palmas_usb->dev, "USB-HOST cable is detached\n");
        } else if ((palmas_usb->linkstat == PALMAS_USB_STATE_DISCONNECT) &&
                                (id_src & PALMAS_USB_ID_INT_SRC_ID_GND)) {
                palmas_usb->linkstat = PALMAS_USB_STATE_ID;
-               extcon_set_cable_state_(edev, EXTCON_USB_HOST, true);
+               extcon_set_state_sync(edev, EXTCON_USB_HOST, true);
                dev_info(palmas_usb->dev, " USB-HOST cable is attached\n");
        }
 
@@ -137,10 +137,10 @@ static void palmas_gpio_id_detect(struct work_struct *work)
        id = gpiod_get_value_cansleep(palmas_usb->id_gpiod);
 
        if (id) {
-               extcon_set_cable_state_(edev, EXTCON_USB_HOST, false);
+               extcon_set_state_sync(edev, EXTCON_USB_HOST, false);
                dev_info(palmas_usb->dev, "USB-HOST cable is detached\n");
        } else {
-               extcon_set_cable_state_(edev, EXTCON_USB_HOST, true);
+               extcon_set_state_sync(edev, EXTCON_USB_HOST, true);
                dev_info(palmas_usb->dev, "USB-HOST cable is attached\n");
        }
 }
diff --git a/drivers/extcon/extcon-qcom-spmi-misc.c b/drivers/extcon/extcon-qcom-spmi-misc.c
new file mode 100644 (file)
index 0000000..ca957a5
--- /dev/null
@@ -0,0 +1,170 @@
+/**
+ * extcon-qcom-spmi-misc.c - Qualcomm USB extcon driver to support USB ID
+ *                             detection based on extcon-usb-gpio.c.
+ *
+ * Copyright (C) 2016 Linaro, Ltd.
+ * Stephen Boyd <stephen.boyd@linaro.org>
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License version 2 as
+ * published by the Free Software Foundation.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ */
+
+#include <linux/extcon.h>
+#include <linux/init.h>
+#include <linux/interrupt.h>
+#include <linux/kernel.h>
+#include <linux/module.h>
+#include <linux/platform_device.h>
+#include <linux/slab.h>
+#include <linux/workqueue.h>
+
+#define USB_ID_DEBOUNCE_MS     5       /* ms */
+
+struct qcom_usb_extcon_info {
+       struct extcon_dev *edev;
+       int irq;
+       struct delayed_work wq_detcable;
+       unsigned long debounce_jiffies;
+};
+
+static const unsigned int qcom_usb_extcon_cable[] = {
+       EXTCON_USB_HOST,
+       EXTCON_NONE,
+};
+
+static void qcom_usb_extcon_detect_cable(struct work_struct *work)
+{
+       bool id;
+       int ret;
+       struct qcom_usb_extcon_info *info = container_of(to_delayed_work(work),
+                                                   struct qcom_usb_extcon_info,
+                                                   wq_detcable);
+
+       /* check ID and update cable state */
+       ret = irq_get_irqchip_state(info->irq, IRQCHIP_STATE_LINE_LEVEL, &id);
+       if (ret)
+               return;
+
+       extcon_set_state(info->edev, EXTCON_USB_HOST, !id);
+}
+
+static irqreturn_t qcom_usb_irq_handler(int irq, void *dev_id)
+{
+       struct qcom_usb_extcon_info *info = dev_id;
+
+       queue_delayed_work(system_power_efficient_wq, &info->wq_detcable,
+                          info->debounce_jiffies);
+
+       return IRQ_HANDLED;
+}
+
+static int qcom_usb_extcon_probe(struct platform_device *pdev)
+{
+       struct device *dev = &pdev->dev;
+       struct qcom_usb_extcon_info *info;
+       int ret;
+
+       info = devm_kzalloc(dev, sizeof(*info), GFP_KERNEL);
+       if (!info)
+               return -ENOMEM;
+
+       info->edev = devm_extcon_dev_allocate(dev, qcom_usb_extcon_cable);
+       if (IS_ERR(info->edev)) {
+               dev_err(dev, "failed to allocate extcon device\n");
+               return -ENOMEM;
+       }
+
+       ret = devm_extcon_dev_register(dev, info->edev);
+       if (ret < 0) {
+               dev_err(dev, "failed to register extcon device\n");
+               return ret;
+       }
+
+       info->debounce_jiffies = msecs_to_jiffies(USB_ID_DEBOUNCE_MS);
+       INIT_DELAYED_WORK(&info->wq_detcable, qcom_usb_extcon_detect_cable);
+
+       info->irq = platform_get_irq_byname(pdev, "usb_id");
+       if (info->irq < 0)
+               return info->irq;
+
+       ret = devm_request_threaded_irq(dev, info->irq, NULL,
+                                       qcom_usb_irq_handler,
+                                       IRQF_TRIGGER_RISING |
+                                       IRQF_TRIGGER_FALLING | IRQF_ONESHOT,
+                                       pdev->name, info);
+       if (ret < 0) {
+               dev_err(dev, "failed to request handler for ID IRQ\n");
+               return ret;
+       }
+
+       platform_set_drvdata(pdev, info);
+       device_init_wakeup(dev, 1);
+
+       /* Perform initial detection */
+       qcom_usb_extcon_detect_cable(&info->wq_detcable.work);
+
+       return 0;
+}
+
+static int qcom_usb_extcon_remove(struct platform_device *pdev)
+{
+       struct qcom_usb_extcon_info *info = platform_get_drvdata(pdev);
+
+       cancel_delayed_work_sync(&info->wq_detcable);
+
+       return 0;
+}
+
+#ifdef CONFIG_PM_SLEEP
+static int qcom_usb_extcon_suspend(struct device *dev)
+{
+       struct qcom_usb_extcon_info *info = dev_get_drvdata(dev);
+       int ret = 0;
+
+       if (device_may_wakeup(dev))
+               ret = enable_irq_wake(info->irq);
+
+       return ret;
+}
+
+static int qcom_usb_extcon_resume(struct device *dev)
+{
+       struct qcom_usb_extcon_info *info = dev_get_drvdata(dev);
+       int ret = 0;
+
+       if (device_may_wakeup(dev))
+               ret = disable_irq_wake(info->irq);
+
+       return ret;
+}
+#endif
+
+static SIMPLE_DEV_PM_OPS(qcom_usb_extcon_pm_ops,
+                        qcom_usb_extcon_suspend, qcom_usb_extcon_resume);
+
+static const struct of_device_id qcom_usb_extcon_dt_match[] = {
+       { .compatible = "qcom,pm8941-misc", },
+       { }
+};
+MODULE_DEVICE_TABLE(of, qcom_usb_extcon_dt_match);
+
+static struct platform_driver qcom_usb_extcon_driver = {
+       .probe          = qcom_usb_extcon_probe,
+       .remove         = qcom_usb_extcon_remove,
+       .driver         = {
+               .name   = "extcon-pm8941-misc",
+               .pm     = &qcom_usb_extcon_pm_ops,
+               .of_match_table = qcom_usb_extcon_dt_match,
+       },
+};
+module_platform_driver(qcom_usb_extcon_driver);
+
+MODULE_DESCRIPTION("QCOM USB ID extcon driver");
+MODULE_AUTHOR("Stephen Boyd <stephen.boyd@linaro.org>");
+MODULE_LICENSE("GPL v2");
index 97e074d..174c388 100644 (file)
@@ -398,9 +398,9 @@ static int rt8973a_muic_cable_handler(struct rt8973a_muic_info *info,
                return ret;
 
        /* Change the state of external accessory */
-       extcon_set_cable_state_(info->edev, id, attached);
+       extcon_set_state_sync(info->edev, id, attached);
        if (id == EXTCON_USB)
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SDP,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SDP,
                                        attached);
 
        return 0;
index df769a1..b223256 100644 (file)
@@ -411,9 +411,9 @@ static int sm5502_muic_cable_handler(struct sm5502_muic_info *info,
                return ret;
 
        /* Change the state of external accessory */
-       extcon_set_cable_state_(info->edev, id, attached);
+       extcon_set_state_sync(info->edev, id, attached);
        if (id == EXTCON_USB)
-               extcon_set_cable_state_(info->edev, EXTCON_CHG_USB_SDP,
+               extcon_set_state_sync(info->edev, EXTCON_CHG_USB_SDP,
                                        attached);
 
        return 0;
index 2512660..a27d350 100644 (file)
@@ -63,16 +63,16 @@ static void usb_extcon_detect_cable(struct work_struct *work)
                 * As we don't have event for USB peripheral cable attached,
                 * we simulate USB peripheral attach here.
                 */
-               extcon_set_cable_state_(info->edev, EXTCON_USB_HOST, false);
-               extcon_set_cable_state_(info->edev, EXTCON_USB, true);
+               extcon_set_state_sync(info->edev, EXTCON_USB_HOST, false);
+               extcon_set_state_sync(info->edev, EXTCON_USB, true);
        } else {
                /*
                 * ID = 0 means USB HOST cable attached.
                 * As we don't have event for USB peripheral cable detached,
                 * we simulate USB peripheral detach here.
                 */
-               extcon_set_cable_state_(info->edev, EXTCON_USB, false);
-               extcon_set_cable_state_(info->edev, EXTCON_USB_HOST, true);
+               extcon_set_state_sync(info->edev, EXTCON_USB, false);
+               extcon_set_state_sync(info->edev, EXTCON_USB_HOST, true);
        }
 }
 
index 8682efc..7829846 100644 (file)
 #define SUPPORTED_CABLE_MAX    32
 #define CABLE_NAME_MAX         30
 
-static const char *extcon_name[] =  {
-       [EXTCON_NONE]                   = "NONE",
+struct __extcon_info {
+       unsigned int type;
+       unsigned int id;
+       const char *name;
+
+} extcon_info[] = {
+       [EXTCON_NONE] = {
+               .type = EXTCON_TYPE_MISC,
+               .id = EXTCON_NONE,
+               .name = "NONE",
+       },
 
        /* USB external connector */
-       [EXTCON_USB]                    = "USB",
-       [EXTCON_USB_HOST]               = "USB-HOST",
+       [EXTCON_USB] = {
+               .type = EXTCON_TYPE_USB,
+               .id = EXTCON_USB,
+               .name = "USB",
+       },
+       [EXTCON_USB_HOST] = {
+               .type = EXTCON_TYPE_USB,
+               .id = EXTCON_USB_HOST,
+               .name = "USB_HOST",
+       },
 
        /* Charging external connector */
-       [EXTCON_CHG_USB_SDP]            = "SDP",
-       [EXTCON_CHG_USB_DCP]            = "DCP",
-       [EXTCON_CHG_USB_CDP]            = "CDP",
-       [EXTCON_CHG_USB_ACA]            = "ACA",
-       [EXTCON_CHG_USB_FAST]           = "FAST-CHARGER",
-       [EXTCON_CHG_USB_SLOW]           = "SLOW-CHARGER",
+       [EXTCON_CHG_USB_SDP] = {
+               .type = EXTCON_TYPE_CHG | EXTCON_TYPE_USB,
+               .id = EXTCON_CHG_USB_SDP,
+               .name = "SDP",
+       },
+       [EXTCON_CHG_USB_DCP] = {
+               .type = EXTCON_TYPE_CHG | EXTCON_TYPE_USB,
+               .id = EXTCON_CHG_USB_DCP,
+               .name = "DCP",
+       },
+       [EXTCON_CHG_USB_CDP] = {
+               .type = EXTCON_TYPE_CHG | EXTCON_TYPE_USB,
+               .id = EXTCON_CHG_USB_CDP,
+               .name = "CDP",
+       },
+       [EXTCON_CHG_USB_ACA] = {
+               .type = EXTCON_TYPE_CHG | EXTCON_TYPE_USB,
+               .id = EXTCON_CHG_USB_ACA,
+               .name = "ACA",
+       },
+       [EXTCON_CHG_USB_FAST] = {
+               .type = EXTCON_TYPE_CHG | EXTCON_TYPE_USB,
+               .id = EXTCON_CHG_USB_FAST,
+               .name = "FAST-CHARGER",
+       },
+       [EXTCON_CHG_USB_SLOW] = {
+               .type = EXTCON_TYPE_CHG | EXTCON_TYPE_USB,
+               .id = EXTCON_CHG_USB_SLOW,
+               .name = "SLOW-CHARGER",
+       },
+       [EXTCON_CHG_WPT] = {
+               .type = EXTCON_TYPE_CHG,
+               .id = EXTCON_CHG_WPT,
+               .name = "WPT",
+       },
 
        /* Jack external connector */
-       [EXTCON_JACK_MICROPHONE]        = "MICROPHONE",
-       [EXTCON_JACK_HEADPHONE]         = "HEADPHONE",
-       [EXTCON_JACK_LINE_IN]           = "LINE-IN",
-       [EXTCON_JACK_LINE_OUT]          = "LINE-OUT",
-       [EXTCON_JACK_VIDEO_IN]          = "VIDEO-IN",
-       [EXTCON_JACK_VIDEO_OUT]         = "VIDEO-OUT",
-       [EXTCON_JACK_SPDIF_IN]          = "SPDIF-IN",
-       [EXTCON_JACK_SPDIF_OUT]         = "SPDIF-OUT",
+       [EXTCON_JACK_MICROPHONE] = {
+               .type = EXTCON_TYPE_JACK,
+               .id = EXTCON_JACK_MICROPHONE,
+               .name = "MICROPHONE",
+       },
+       [EXTCON_JACK_HEADPHONE] = {
+               .type = EXTCON_TYPE_JACK,
+               .id = EXTCON_JACK_HEADPHONE,
+               .name = "HEADPHONE",
+       },
+       [EXTCON_JACK_LINE_IN] = {
+               .type = EXTCON_TYPE_JACK,
+               .id = EXTCON_JACK_LINE_IN,
+               .name = "LINE-IN",
+       },
+       [EXTCON_JACK_LINE_OUT] = {
+               .type = EXTCON_TYPE_JACK,
+               .id = EXTCON_JACK_LINE_OUT,
+               .name = "LINE-OUT",
+       },
+       [EXTCON_JACK_VIDEO_IN] = {
+               .type = EXTCON_TYPE_JACK,
+               .id = EXTCON_JACK_VIDEO_IN,
+               .name = "VIDEO-IN",
+       },
+       [EXTCON_JACK_VIDEO_OUT] = {
+               .type = EXTCON_TYPE_JACK,
+               .id = EXTCON_JACK_VIDEO_OUT,
+               .name = "VIDEO-OUT",
+       },
+       [EXTCON_JACK_SPDIF_IN] = {
+               .type = EXTCON_TYPE_JACK,
+               .id = EXTCON_JACK_SPDIF_IN,
+               .name = "SPDIF-IN",
+       },
+       [EXTCON_JACK_SPDIF_OUT] = {
+               .type = EXTCON_TYPE_JACK,
+               .id = EXTCON_JACK_SPDIF_OUT,
+               .name = "SPDIF-OUT",
+       },
 
        /* Display external connector */
-       [EXTCON_DISP_HDMI]              = "HDMI",
-       [EXTCON_DISP_MHL]               = "MHL",
-       [EXTCON_DISP_DVI]               = "DVI",
-       [EXTCON_DISP_VGA]               = "VGA",
+       [EXTCON_DISP_HDMI] = {
+               .type = EXTCON_TYPE_DISP,
+               .id = EXTCON_DISP_HDMI,
+               .name = "HDMI",
+       },
+       [EXTCON_DISP_MHL] = {
+               .type = EXTCON_TYPE_DISP,
+               .id = EXTCON_DISP_MHL,
+               .name = "MHL",
+       },
+       [EXTCON_DISP_DVI] = {
+               .type = EXTCON_TYPE_DISP,
+               .id = EXTCON_DISP_DVI,
+               .name = "DVI",
+       },
+       [EXTCON_DISP_VGA] = {
+               .type = EXTCON_TYPE_DISP,
+               .id = EXTCON_DISP_VGA,
+               .name = "VGA",
+       },
+       [EXTCON_DISP_DP] = {
+               .type = EXTCON_TYPE_DISP | EXTCON_TYPE_USB,
+               .id = EXTCON_DISP_DP,
+               .name = "DP",
+       },
+       [EXTCON_DISP_HMD] = {
+               .type = EXTCON_TYPE_DISP | EXTCON_TYPE_USB,
+               .id = EXTCON_DISP_HMD,
+               .name = "HMD",
+       },
 
        /* Miscellaneous external connector */
-       [EXTCON_DOCK]                   = "DOCK",
-       [EXTCON_JIG]                    = "JIG",
-       [EXTCON_MECHANICAL]             = "MECHANICAL",
-
-       NULL,
+       [EXTCON_DOCK] = {
+               .type = EXTCON_TYPE_MISC,
+               .id = EXTCON_DOCK,
+               .name = "DOCK",
+       },
+       [EXTCON_JIG] = {
+               .type = EXTCON_TYPE_MISC,
+               .id = EXTCON_JIG,
+               .name = "JIG",
+       },
+       [EXTCON_MECHANICAL] = {
+               .type = EXTCON_TYPE_MISC,
+               .id = EXTCON_MECHANICAL,
+               .name = "MECHANICAL",
+       },
+
+       { /* sentinel */ }
 };
 
 /**
@@ -95,6 +211,16 @@ struct extcon_cable {
        struct device_attribute attr_state;
 
        struct attribute *attrs[3]; /* to be fed to attr_g.attrs */
+
+       union extcon_property_value usb_propval[EXTCON_PROP_USB_CNT];
+       union extcon_property_value chg_propval[EXTCON_PROP_CHG_CNT];
+       union extcon_property_value jack_propval[EXTCON_PROP_JACK_CNT];
+       union extcon_property_value disp_propval[EXTCON_PROP_DISP_CNT];
+
+       unsigned long usb_bits[BITS_TO_LONGS(EXTCON_PROP_USB_CNT)];
+       unsigned long chg_bits[BITS_TO_LONGS(EXTCON_PROP_CHG_CNT)];
+       unsigned long jack_bits[BITS_TO_LONGS(EXTCON_PROP_JACK_CNT)];
+       unsigned long disp_bits[BITS_TO_LONGS(EXTCON_PROP_DISP_CNT)];
 };
 
 static struct class *extcon_class;
@@ -147,14 +273,93 @@ static int find_cable_index_by_id(struct extcon_dev *edev, const unsigned int id
        return -EINVAL;
 }
 
-static bool is_extcon_changed(u32 prev, u32 new, int idx, bool *attached)
+static int get_extcon_type(unsigned int prop)
 {
-       if (((prev >> idx) & 0x1) != ((new >> idx) & 0x1)) {
-               *attached = ((new >> idx) & 0x1) ? true : false;
-               return true;
+       switch (prop) {
+       case EXTCON_PROP_USB_MIN ... EXTCON_PROP_USB_MAX:
+               return EXTCON_TYPE_USB;
+       case EXTCON_PROP_CHG_MIN ... EXTCON_PROP_CHG_MAX:
+               return EXTCON_TYPE_CHG;
+       case EXTCON_PROP_JACK_MIN ... EXTCON_PROP_JACK_MAX:
+               return EXTCON_TYPE_JACK;
+       case EXTCON_PROP_DISP_MIN ... EXTCON_PROP_DISP_MAX:
+               return EXTCON_TYPE_DISP;
+       default:
+               return -EINVAL;
        }
+}
+
+static bool is_extcon_attached(struct extcon_dev *edev, unsigned int index)
+{
+       return !!(edev->state & BIT(index));
+}
+
+static bool is_extcon_changed(struct extcon_dev *edev, int index,
+                               bool new_state)
+{
+       int state = !!(edev->state & BIT(index));
+       return (state != new_state);
+}
+
+static bool is_extcon_property_supported(unsigned int id, unsigned int prop)
+{
+       int type;
+
+       /* Check whether the property is supported or not. */
+       type = get_extcon_type(prop);
+       if (type < 0)
+               return false;
 
-       return false;
+       /* Check whether a specific extcon id supports the property or not. */
+       return !!(extcon_info[id].type & type);
+}
+
+static int is_extcon_property_capability(struct extcon_dev *edev,
+                               unsigned int id, int index,unsigned int prop)
+{
+       struct extcon_cable *cable;
+       int type, ret;
+
+       /* Check whether the property is supported or not. */
+       type = get_extcon_type(prop);
+       if (type < 0)
+               return type;
+
+       cable = &edev->cables[index];
+
+       switch (type) {
+       case EXTCON_TYPE_USB:
+               ret = test_bit(prop - EXTCON_PROP_USB_MIN, cable->usb_bits);
+               break;
+       case EXTCON_TYPE_CHG:
+               ret = test_bit(prop - EXTCON_PROP_CHG_MIN, cable->chg_bits);
+               break;
+       case EXTCON_TYPE_JACK:
+               ret = test_bit(prop - EXTCON_PROP_JACK_MIN, cable->jack_bits);
+               break;
+       case EXTCON_TYPE_DISP:
+               ret = test_bit(prop - EXTCON_PROP_DISP_MIN, cable->disp_bits);
+               break;
+       default:
+               ret = -EINVAL;
+       }
+
+       return ret;
+}
+
+static void init_property(struct extcon_dev *edev, unsigned int id, int index)
+{
+       unsigned int type = extcon_info[id].type;
+       struct extcon_cable *cable = &edev->cables[index];
+
+       if (EXTCON_TYPE_USB & type)
+               memset(cable->usb_propval, 0, sizeof(cable->usb_propval));
+       if (EXTCON_TYPE_CHG & type)
+               memset(cable->chg_propval, 0, sizeof(cable->chg_propval));
+       if (EXTCON_TYPE_JACK & type)
+               memset(cable->jack_propval, 0, sizeof(cable->jack_propval));
+       if (EXTCON_TYPE_DISP & type)
+               memset(cable->disp_propval, 0, sizeof(cable->disp_propval));
 }
 
 static ssize_t state_show(struct device *dev, struct device_attribute *attr,
@@ -168,32 +373,13 @@ static ssize_t state_show(struct device *dev, struct device_attribute *attr,
 
        for (i = 0; i < edev->max_supported; i++) {
                count += sprintf(buf + count, "%s=%d\n",
-                               extcon_name[edev->supported_cable[i]],
+                               extcon_info[edev->supported_cable[i]].name,
                                 !!(edev->state & (1 << i)));
        }
 
        return count;
 }
-
-static ssize_t state_store(struct device *dev, struct device_attribute *attr,
-                          const char *buf, size_t count)
-{
-       u32 state;
-       ssize_t ret = 0;
-       struct extcon_dev *edev = dev_get_drvdata(dev);
-
-       ret = sscanf(buf, "0x%x", &state);
-       if (ret == 0)
-               ret = -EINVAL;
-       else
-               ret = extcon_set_state(edev, state);
-
-       if (ret < 0)
-               return ret;
-
-       return count;
-}
-static DEVICE_ATTR_RW(state);
+static DEVICE_ATTR_RO(state);
 
 static ssize_t name_show(struct device *dev, struct device_attribute *attr,
                char *buf)
@@ -212,7 +398,7 @@ static ssize_t cable_name_show(struct device *dev,
        int i = cable->cable_index;
 
        return sprintf(buf, "%s\n",
-                       extcon_name[cable->edev->supported_cable[i]]);
+                       extcon_info[cable->edev->supported_cable[i]].name);
 }
 
 static ssize_t cable_state_show(struct device *dev,
@@ -224,26 +410,17 @@ static ssize_t cable_state_show(struct device *dev,
        int i = cable->cable_index;
 
        return sprintf(buf, "%d\n",
-                      extcon_get_cable_state_(cable->edev,
-                                              cable->edev->supported_cable[i]));
+               extcon_get_state(cable->edev, cable->edev->supported_cable[i]));
 }
 
 /**
- * extcon_update_state() - Update the cable attach states of the extcon device
- *                        only for the masked bits.
- * @edev:      the extcon device
- * @mask:      the bit mask to designate updated bits.
- * @state:     new cable attach status for @edev
- *
- * Changing the state sends uevent with environment variable containing
- * the name of extcon device (envp[0]) and the state output (envp[1]).
- * Tizen uses this format for extcon device to get events from ports.
- * Android uses this format as well.
+ * extcon_sync()       - Synchronize the states for both the attached/detached
+ * @edev:              the extcon device that has the cable.
  *
- * Note that the notifier provides which bits are changed in the state
- * variable with the val parameter (second) to the callback.
+ * This function send a notification to synchronize the all states of a
+ * specific external connector
  */
-int extcon_update_state(struct extcon_dev *edev, u32 mask, u32 state)
+int extcon_sync(struct extcon_dev *edev, unsigned int id)
 {
        char name_buf[120];
        char state_buf[120];
@@ -252,100 +429,102 @@ int extcon_update_state(struct extcon_dev *edev, u32 mask, u32 state)
        int env_offset = 0;
        int length;
        int index;
+       int state;
        unsigned long flags;
-       bool attached;
 
        if (!edev)
                return -EINVAL;
 
-       spin_lock_irqsave(&edev->lock, flags);
+       index = find_cable_index_by_id(edev, id);
+       if (index < 0)
+               return index;
 
-       if (edev->state != ((edev->state & ~mask) | (state & mask))) {
-               u32 old_state;
+       spin_lock_irqsave(&edev->lock, flags);
 
-               if (check_mutually_exclusive(edev, (edev->state & ~mask) |
-                                                  (state & mask))) {
-                       spin_unlock_irqrestore(&edev->lock, flags);
-                       return -EPERM;
-               }
+       state = !!(edev->state & BIT(index));
+       raw_notifier_call_chain(&edev->nh[index], state, edev);
 
-               old_state = edev->state;
-               edev->state &= ~mask;
-               edev->state |= state & mask;
+       /* This could be in interrupt handler */
+       prop_buf = (char *)get_zeroed_page(GFP_ATOMIC);
+       if (!prop_buf) {
+               /* Unlock early before uevent */
+               spin_unlock_irqrestore(&edev->lock, flags);
 
-               for (index = 0; index < edev->max_supported; index++) {
-                       if (is_extcon_changed(old_state, edev->state, index,
-                                             &attached))
-                               raw_notifier_call_chain(&edev->nh[index],
-                                                       attached, edev);
-               }
+               dev_err(&edev->dev, "out of memory in extcon_set_state\n");
+               kobject_uevent(&edev->dev.kobj, KOBJ_CHANGE);
 
-               /* This could be in interrupt handler */
-               prop_buf = (char *)get_zeroed_page(GFP_ATOMIC);
-               if (prop_buf) {
-                       length = name_show(&edev->dev, NULL, prop_buf);
-                       if (length > 0) {
-                               if (prop_buf[length - 1] == '\n')
-                                       prop_buf[length - 1] = 0;
-                               snprintf(name_buf, sizeof(name_buf),
-                                       "NAME=%s", prop_buf);
-                               envp[env_offset++] = name_buf;
-                       }
-                       length = state_show(&edev->dev, NULL, prop_buf);
-                       if (length > 0) {
-                               if (prop_buf[length - 1] == '\n')
-                                       prop_buf[length - 1] = 0;
-                               snprintf(state_buf, sizeof(state_buf),
-                                       "STATE=%s", prop_buf);
-                               envp[env_offset++] = state_buf;
-                       }
-                       envp[env_offset] = NULL;
-                       /* Unlock early before uevent */
-                       spin_unlock_irqrestore(&edev->lock, flags);
+               return 0;
+       }
 
-                       kobject_uevent_env(&edev->dev.kobj, KOBJ_CHANGE, envp);
-                       free_page((unsigned long)prop_buf);
-               } else {
-                       /* Unlock early before uevent */
-                       spin_unlock_irqrestore(&edev->lock, flags);
+       length = name_show(&edev->dev, NULL, prop_buf);
+       if (length > 0) {
+               if (prop_buf[length - 1] == '\n')
+                       prop_buf[length - 1] = 0;
+               snprintf(name_buf, sizeof(name_buf), "NAME=%s", prop_buf);
+               envp[env_offset++] = name_buf;
+       }
 
-                       dev_err(&edev->dev, "out of memory in extcon_set_state\n");
-                       kobject_uevent(&edev->dev.kobj, KOBJ_CHANGE);
-               }
-       } else {
-               /* No changes */
-               spin_unlock_irqrestore(&edev->lock, flags);
+       length = state_show(&edev->dev, NULL, prop_buf);
+       if (length > 0) {
+               if (prop_buf[length - 1] == '\n')
+                       prop_buf[length - 1] = 0;
+               snprintf(state_buf, sizeof(state_buf), "STATE=%s", prop_buf);
+               envp[env_offset++] = state_buf;
        }
+       envp[env_offset] = NULL;
+
+       /* Unlock early before uevent */
+       spin_unlock_irqrestore(&edev->lock, flags);
+       kobject_uevent_env(&edev->dev.kobj, KOBJ_CHANGE, envp);
+       free_page((unsigned long)prop_buf);
 
        return 0;
 }
-EXPORT_SYMBOL_GPL(extcon_update_state);
+EXPORT_SYMBOL_GPL(extcon_sync);
 
 /**
- * extcon_set_state() - Set the cable attach states of the extcon device.
- * @edev:      the extcon device
- * @state:     new cable attach status for @edev
- *
- * Note that notifier provides which bits are changed in the state
- * variable with the val parameter (second) to the callback.
+ * extcon_get_state() - Get the state of a external connector.
+ * @edev:      the extcon device that has the cable.
+ * @id:                the unique id of each external connector in extcon enumeration.
  */
-int extcon_set_state(struct extcon_dev *edev, u32 state)
+int extcon_get_state(struct extcon_dev *edev, const unsigned int id)
 {
+       int index, state;
+       unsigned long flags;
+
        if (!edev)
                return -EINVAL;
 
-       return extcon_update_state(edev, 0xffffffff, state);
+       index = find_cable_index_by_id(edev, id);
+       if (index < 0)
+               return index;
+
+       spin_lock_irqsave(&edev->lock, flags);
+       state = is_extcon_attached(edev, index);
+       spin_unlock_irqrestore(&edev->lock, flags);
+
+       return state;
 }
-EXPORT_SYMBOL_GPL(extcon_set_state);
+EXPORT_SYMBOL_GPL(extcon_get_state);
 
 /**
- * extcon_get_cable_state_() - Get the status of a specific cable.
- * @edev:      the extcon device that has the cable.
- * @id:                the unique id of each external connector in extcon enumeration.
+ * extcon_set_state() - Set the state of a external connector.
+ *                     without a notification.
+ * @edev:              the extcon device that has the cable.
+ * @id:                        the unique id of each external connector
+ *                     in extcon enumeration.
+ * @state:             the new cable status. The default semantics is
+ *                     true: attached / false: detached.
+ *
+ * This function only set the state of a external connector without
+ * a notification. To synchronize the data of a external connector,
+ * use extcon_set_state_sync() and extcon_sync().
  */
-int extcon_get_cable_state_(struct extcon_dev *edev, const unsigned int id)
+int extcon_set_state(struct extcon_dev *edev, unsigned int id,
+                               bool cable_state)
 {
-       int index;
+       unsigned long flags;
+       int index, ret = 0;
 
        if (!edev)
                return -EINVAL;
@@ -354,41 +533,338 @@ int extcon_get_cable_state_(struct extcon_dev *edev, const unsigned int id)
        if (index < 0)
                return index;
 
-       if (edev->max_supported && edev->max_supported <= index)
-               return -EINVAL;
+       spin_lock_irqsave(&edev->lock, flags);
+
+       /* Check whether the external connector's state is changed. */
+       if (!is_extcon_changed(edev, index, cable_state))
+               goto out;
+
+       if (check_mutually_exclusive(edev,
+               (edev->state & ~BIT(index)) | (cable_state & BIT(index)))) {
+               ret = -EPERM;
+               goto out;
+       }
+
+       /*
+        * Initialize the value of extcon property before setting
+        * the detached state for an external connector.
+        */
+       if (!cable_state)
+               init_property(edev, id, index);
+
+       /* Update the state for a external connector. */
+       if (cable_state)
+               edev->state |= BIT(index);
+       else
+               edev->state &= ~(BIT(index));
+out:
+       spin_unlock_irqrestore(&edev->lock, flags);
 
-       return !!(edev->state & (1 << index));
+       return ret;
 }
-EXPORT_SYMBOL_GPL(extcon_get_cable_state_);
+EXPORT_SYMBOL_GPL(extcon_set_state);
 
 /**
- * extcon_set_cable_state_() - Set the status of a specific cable.
+ * extcon_set_state_sync() - Set the state of a external connector
+ *                     with a notification.
  * @edev:              the extcon device that has the cable.
  * @id:                        the unique id of each external connector
  *                     in extcon enumeration.
  * @state:             the new cable status. The default semantics is
  *                     true: attached / false: detached.
+ *
+ * This function set the state of external connector and synchronize the data
+ * by usning a notification.
  */
-int extcon_set_cable_state_(struct extcon_dev *edev, unsigned int id,
+int extcon_set_state_sync(struct extcon_dev *edev, unsigned int id,
                                bool cable_state)
 {
-       u32 state;
+       int ret, index;
+       unsigned long flags;
+
+       index = find_cable_index_by_id(edev, id);
+       if (index < 0)
+               return index;
+
+       /* Check whether the external connector's state is changed. */
+       spin_lock_irqsave(&edev->lock, flags);
+       ret = is_extcon_changed(edev, index, cable_state);
+       spin_unlock_irqrestore(&edev->lock, flags);
+       if (!ret)
+               return 0;
+
+       ret = extcon_set_state(edev, id, cable_state);
+       if (ret < 0)
+               return ret;
+
+       return extcon_sync(edev, id);
+}
+EXPORT_SYMBOL_GPL(extcon_set_state_sync);
+
+/**
+ * extcon_get_property() - Get the property value of a specific cable.
+ * @edev:              the extcon device that has the cable.
+ * @id:                        the unique id of each external connector
+ *                     in extcon enumeration.
+ * @prop:              the property id among enum extcon_property.
+ * @prop_val:          the pointer which store the value of property.
+ *
+ * When getting the property value of external connector, the external connector
+ * should be attached. If detached state, function just return 0 without
+ * property value. Also, the each property should be included in the list of
+ * supported properties according to the type of external connectors.
+ *
+ * Returns 0 if success or error number if fail
+ */
+int extcon_get_property(struct extcon_dev *edev, unsigned int id,
+                               unsigned int prop,
+                               union extcon_property_value *prop_val)
+{
+       struct extcon_cable *cable;
+       unsigned long flags;
+       int index, ret = 0;
+
+       *prop_val = (union extcon_property_value)(0);
+
+       if (!edev)
+               return -EINVAL;
+
+       /* Check whether the property is supported or not */
+       if (!is_extcon_property_supported(id, prop))
+               return -EINVAL;
+
+       /* Find the cable index of external connector by using id */
+       index = find_cable_index_by_id(edev, id);
+       if (index < 0)
+               return index;
+
+       spin_lock_irqsave(&edev->lock, flags);
+
+       /* Check whether the property is available or not. */
+       if (!is_extcon_property_capability(edev, id, index, prop)) {
+               spin_unlock_irqrestore(&edev->lock, flags);
+               return -EPERM;
+       }
+
+       /*
+        * Check whether the external connector is attached.
+        * If external connector is detached, the user can not
+        * get the property value.
+        */
+       if (!is_extcon_attached(edev, index)) {
+               spin_unlock_irqrestore(&edev->lock, flags);
+               return 0;
+       }
+
+       cable = &edev->cables[index];
+
+       /* Get the property value according to extcon type */
+       switch (prop) {
+       case EXTCON_PROP_USB_MIN ... EXTCON_PROP_USB_MAX:
+               *prop_val = cable->usb_propval[prop - EXTCON_PROP_USB_MIN];
+               break;
+       case EXTCON_PROP_CHG_MIN ... EXTCON_PROP_CHG_MAX:
+               *prop_val = cable->chg_propval[prop - EXTCON_PROP_CHG_MIN];
+               break;
+       case EXTCON_PROP_JACK_MIN ... EXTCON_PROP_JACK_MAX:
+               *prop_val = cable->jack_propval[prop - EXTCON_PROP_JACK_MIN];
+               break;
+       case EXTCON_PROP_DISP_MIN ... EXTCON_PROP_DISP_MAX:
+               *prop_val = cable->disp_propval[prop - EXTCON_PROP_DISP_MIN];
+               break;
+       default:
+               ret = -EINVAL;
+               break;
+       }
+
+       spin_unlock_irqrestore(&edev->lock, flags);
+
+       return ret;
+}
+EXPORT_SYMBOL_GPL(extcon_get_property);
+
+/**
+ * extcon_set_property() - Set the property value of a specific cable.
+ * @edev:              the extcon device that has the cable.
+ * @id:                        the unique id of each external connector
+ *                     in extcon enumeration.
+ * @prop:              the property id among enum extcon_property.
+ * @prop_val:          the pointer including the new value of property.
+ *
+ * The each property should be included in the list of supported properties
+ * according to the type of external connectors.
+ *
+ * Returns 0 if success or error number if fail
+ */
+int extcon_set_property(struct extcon_dev *edev, unsigned int id,
+                               unsigned int prop,
+                               union extcon_property_value prop_val)
+{
+       struct extcon_cable *cable;
+       unsigned long flags;
+       int index, ret = 0;
+
+       if (!edev)
+               return -EINVAL;
+
+       /* Check whether the property is supported or not */
+       if (!is_extcon_property_supported(id, prop))
+               return -EINVAL;
+
+       /* Find the cable index of external connector by using id */
+       index = find_cable_index_by_id(edev, id);
+       if (index < 0)
+               return index;
+
+       spin_lock_irqsave(&edev->lock, flags);
+
+       /* Check whether the property is available or not. */
+       if (!is_extcon_property_capability(edev, id, index, prop)) {
+               spin_unlock_irqrestore(&edev->lock, flags);
+               return -EPERM;
+       }
+
+       cable = &edev->cables[index];
+
+       /* Set the property value according to extcon type */
+       switch (prop) {
+       case EXTCON_PROP_USB_MIN ... EXTCON_PROP_USB_MAX:
+               cable->usb_propval[prop - EXTCON_PROP_USB_MIN] = prop_val;
+               break;
+       case EXTCON_PROP_CHG_MIN ... EXTCON_PROP_CHG_MAX:
+               cable->chg_propval[prop - EXTCON_PROP_CHG_MIN] = prop_val;
+               break;
+       case EXTCON_PROP_JACK_MIN ... EXTCON_PROP_JACK_MAX:
+               cable->jack_propval[prop - EXTCON_PROP_JACK_MIN] = prop_val;
+               break;
+       case EXTCON_PROP_DISP_MIN ... EXTCON_PROP_DISP_MAX:
+               cable->disp_propval[prop - EXTCON_PROP_DISP_MIN] = prop_val;
+               break;
+       default:
+               ret = -EINVAL;
+               break;
+       }
+
+       spin_unlock_irqrestore(&edev->lock, flags);
+
+       return ret;
+}
+EXPORT_SYMBOL_GPL(extcon_set_property);
+
+/**
+ * extcon_set_property_sync() - Set the property value of a specific cable
+                       with a notification.
+ * @prop_val:          the pointer including the new value of property.
+ *
+ * When setting the property value of external connector, the external connector
+ * should be attached. The each property should be included in the list of
+ * supported properties according to the type of external connectors.
+ *
+ * Returns 0 if success or error number if fail
+ */
+int extcon_set_property_sync(struct extcon_dev *edev, unsigned int id,
+                               unsigned int prop,
+                               union extcon_property_value prop_val)
+{
+       int ret;
+
+       ret = extcon_set_property(edev, id, prop, prop_val);
+       if (ret < 0)
+               return ret;
+
+       return extcon_sync(edev, id);
+}
+EXPORT_SYMBOL_GPL(extcon_set_property_sync);
+
+/**
+ * extcon_get_property_capability() - Get the capability of property
+ *                     of an external connector.
+ * @edev:              the extcon device that has the cable.
+ * @id:                        the unique id of each external connector
+ *                     in extcon enumeration.
+ * @prop:              the property id among enum extcon_property.
+ *
+ * Returns 1 if the property is available or 0 if not available.
+ */
+int extcon_get_property_capability(struct extcon_dev *edev, unsigned int id,
+                                       unsigned int prop)
+{
        int index;
 
        if (!edev)
                return -EINVAL;
 
+       /* Check whether the property is supported or not */
+       if (!is_extcon_property_supported(id, prop))
+               return -EINVAL;
+
+       /* Find the cable index of external connector by using id */
        index = find_cable_index_by_id(edev, id);
        if (index < 0)
                return index;
 
-       if (edev->max_supported && edev->max_supported <= index)
+       return is_extcon_property_capability(edev, id, index, prop);
+}
+EXPORT_SYMBOL_GPL(extcon_get_property_capability);
+
+/**
+ * extcon_set_property_capability() - Set the capability of a property
+ *                     of an external connector.
+ * @edev:              the extcon device that has the cable.
+ * @id:                        the unique id of each external connector
+ *                     in extcon enumeration.
+ * @prop:              the property id among enum extcon_property.
+ *
+ * This function set the capability of a property for an external connector
+ * to mark the bit in capability bitmap which mean the available state of
+ * a property.
+ *
+ * Returns 0 if success or error number if fail
+ */
+int extcon_set_property_capability(struct extcon_dev *edev, unsigned int id,
+                                       unsigned int prop)
+{
+       struct extcon_cable *cable;
+       int index, type, ret = 0;
+
+       if (!edev)
                return -EINVAL;
 
-       state = cable_state ? (1 << index) : 0;
-       return extcon_update_state(edev, 1 << index, state);
+       /* Check whether the property is supported or not. */
+       if (!is_extcon_property_supported(id, prop))
+               return -EINVAL;
+
+       /* Find the cable index of external connector by using id. */
+       index = find_cable_index_by_id(edev, id);
+       if (index < 0)
+               return index;
+
+       type = get_extcon_type(prop);
+       if (type < 0)
+               return type;
+
+       cable = &edev->cables[index];
+
+       switch (type) {
+       case EXTCON_TYPE_USB:
+               __set_bit(prop - EXTCON_PROP_USB_MIN, cable->usb_bits);
+               break;
+       case EXTCON_TYPE_CHG:
+               __set_bit(prop - EXTCON_PROP_CHG_MIN, cable->chg_bits);
+               break;
+       case EXTCON_TYPE_JACK:
+               __set_bit(prop - EXTCON_PROP_JACK_MIN, cable->jack_bits);
+               break;
+       case EXTCON_TYPE_DISP:
+               __set_bit(prop - EXTCON_PROP_DISP_MIN, cable->disp_bits);
+               break;
+       default:
+               ret = -EINVAL;
+       }
+
+       return ret;
 }
-EXPORT_SYMBOL_GPL(extcon_set_cable_state_);
+EXPORT_SYMBOL_GPL(extcon_set_property_capability);
 
 /**
  * extcon_get_extcon_dev() - Get the extcon device instance from the name
@@ -428,7 +904,7 @@ int extcon_register_notifier(struct extcon_dev *edev, unsigned int id,
                             struct notifier_block *nb)
 {
        unsigned long flags;
-       int ret, idx;
+       int ret, idx = -EINVAL;
 
        if (!nb)
                return -EINVAL;
@@ -846,13 +1322,13 @@ struct extcon_dev *extcon_get_edev_by_phandle(struct device *dev, int index)
                return ERR_PTR(-EINVAL);
 
        if (!dev->of_node) {
-               dev_err(dev, "device does not have a device node entry\n");
+               dev_dbg(dev, "device does not have a device node entry\n");
                return ERR_PTR(-EINVAL);
        }
 
        node = of_parse_phandle(dev->of_node, "extcon", index);
        if (!node) {
-               dev_err(dev, "failed to get phandle in %s node\n",
+               dev_dbg(dev, "failed to get phandle in %s node\n",
                        dev->of_node->full_name);
                return ERR_PTR(-ENODEV);
        }
index 6e22af3..e038e88 100644 (file)
@@ -392,7 +392,6 @@ static int rtl2832_sdr_alloc_urbs(struct rtl2832_sdr_dev *dev)
                dev_dbg(&pdev->dev, "alloc urb=%d\n", i);
                dev->urb_list[i] = usb_alloc_urb(0, GFP_ATOMIC);
                if (!dev->urb_list[i]) {
-                       dev_dbg(&pdev->dev, "failed\n");
                        for (j = 0; j < i; j++)
                                usb_free_urb(dev->urb_list[j]);
                        return -ENOMEM;
index 091d793..4b132c2 100644 (file)
@@ -627,7 +627,6 @@ static int si470x_usb_driver_probe(struct usb_interface *intf,
 
        radio->int_in_urb = usb_alloc_urb(0, GFP_KERNEL);
        if (!radio->int_in_urb) {
-               dev_info(&intf->dev, "could not allocate int_in_urb");
                retval = -ENOMEM;
                goto err_intbuffer;
        }
index 65f80b8..86cc70f 100644 (file)
@@ -2211,16 +2211,11 @@ static struct imon_context *imon_init_intf0(struct usb_interface *intf,
                goto exit;
        }
        rx_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!rx_urb) {
-               dev_err(dev, "%s: usb_alloc_urb failed for IR urb", __func__);
+       if (!rx_urb)
                goto rx_urb_alloc_failed;
-       }
        tx_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!tx_urb) {
-               dev_err(dev, "%s: usb_alloc_urb failed for display urb",
-                       __func__);
+       if (!tx_urb)
                goto tx_urb_alloc_failed;
-       }
 
        mutex_init(&ictx->lock);
        spin_lock_init(&ictx->kc_lock);
@@ -2305,10 +2300,8 @@ static struct imon_context *imon_init_intf1(struct usb_interface *intf,
        int ret = -ENOMEM;
 
        rx_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!rx_urb) {
-               pr_err("usb_alloc_urb failed for IR urb\n");
+       if (!rx_urb)
                goto rx_urb_alloc_failed;
-       }
 
        mutex_lock(&ictx->lock);
 
index 399f44d..ec8016d 100644 (file)
@@ -970,10 +970,8 @@ static int redrat3_dev_probe(struct usb_interface *intf,
 
        /* set up bulk-in endpoint */
        rr3->read_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!rr3->read_urb) {
-               dev_err(dev, "Read urb allocation failure\n");
+       if (!rr3->read_urb)
                goto error;
-       }
 
        rr3->ep_in = ep_in;
        rr3->bulk_in_buf = usb_alloc_coherent(udev,
index fe031b0..3c556ee 100644 (file)
@@ -426,7 +426,6 @@ static int airspy_alloc_urbs(struct airspy *s)
                dev_dbg(s->dev, "alloc urb=%d\n", i);
                s->urb_list[i] = usb_alloc_urb(0, GFP_ATOMIC);
                if (!s->urb_list[i]) {
-                       dev_dbg(s->dev, "failed\n");
                        for (j = 0; j < i; j++)
                                usb_free_urb(s->urb_list[j]);
                        return -ENOMEM;
index 0e8030c..68c3a80 100644 (file)
@@ -270,8 +270,6 @@ static int as102_alloc_usb_stream_buffer(struct as102_dev_t *dev)
 
                urb = usb_alloc_urb(0, GFP_ATOMIC);
                if (urb == NULL) {
-                       dev_dbg(&dev->bus_adap.usb_dev->dev,
-                               "%s: usb_alloc_urb failed\n", __func__);
                        as102_free_usb_stream_buffer(dev);
                        return -ENOMEM;
                }
index 82b0269..13b8387 100644 (file)
@@ -245,7 +245,6 @@ static int au0828_init_isoc(struct au0828_dev *dev, int max_packets,
        for (i = 0; i < dev->isoc_ctl.num_bufs; i++) {
                urb = usb_alloc_urb(max_packets, GFP_KERNEL);
                if (!urb) {
-                       au0828_isocdbg("cannot alloc isoc_ctl.urb %i\n", i);
                        au0828_uninit_isoc(dev);
                        return -ENOMEM;
                }
index c1aa1ab..13620cd 100644 (file)
@@ -662,7 +662,6 @@ static int submit_urbs(struct camera_data *cam)
                }
                urb = usb_alloc_urb(FRAMES_PER_DESC, GFP_KERNEL);
                if (!urb) {
-                       ERR("%s: usb_alloc_urb error!\n", __func__);
                        for (j = 0; j < i; j++)
                                usb_free_urb(cam->sbuf[j].urb);
                        return -ENOMEM;
index a6a9508..4cd5fa9 100644 (file)
@@ -293,7 +293,6 @@ static int cx231xx_init_audio_isoc(struct cx231xx *dev)
                memset(dev->adev.transfer_buffer[i], 0x80, sb_size);
                urb = usb_alloc_urb(CX231XX_ISO_NUM_AUDIO_PACKETS, GFP_ATOMIC);
                if (!urb) {
-                       dev_err(dev->dev, "usb_alloc_urb failed!\n");
                        for (j = 0; j < i; j++) {
                                usb_free_urb(dev->adev.urb[j]);
                                kfree(dev->adev.transfer_buffer[j]);
@@ -355,7 +354,6 @@ static int cx231xx_init_audio_bulk(struct cx231xx *dev)
                memset(dev->adev.transfer_buffer[i], 0x80, sb_size);
                urb = usb_alloc_urb(CX231XX_NUM_AUDIO_PACKETS, GFP_ATOMIC);
                if (!urb) {
-                       dev_err(dev->dev, "usb_alloc_urb failed!\n");
                        for (j = 0; j < i; j++) {
                                usb_free_urb(dev->adev.urb[j]);
                                kfree(dev->adev.transfer_buffer[j]);
index 630f4fc..8ec05cb 100644 (file)
@@ -1035,8 +1035,6 @@ int cx231xx_init_isoc(struct cx231xx *dev, int max_packets,
        for (i = 0; i < dev->video_mode.isoc_ctl.num_bufs; i++) {
                urb = usb_alloc_urb(max_packets, GFP_KERNEL);
                if (!urb) {
-                       dev_err(dev->dev,
-                               "cannot alloc isoc_ctl.urb %i\n", i);
                        cx231xx_uninit_isoc(dev);
                        return -ENOMEM;
                }
@@ -1172,8 +1170,6 @@ int cx231xx_init_bulk(struct cx231xx *dev, int max_packets,
        for (i = 0; i < dev->video_mode.bulk_ctl.num_bufs; i++) {
                urb = usb_alloc_urb(0, GFP_KERNEL);
                if (!urb) {
-                       dev_err(dev->dev,
-                               "cannot alloc bulk_ctl.urb %i\n", i);
                        cx231xx_uninit_bulk(dev);
                        return -ENOMEM;
                }
index 15bb573..76e9019 100644 (file)
@@ -442,8 +442,6 @@ int cx231xx_init_vbi_isoc(struct cx231xx *dev, int max_packets,
 
                urb = usb_alloc_urb(0, GFP_KERNEL);
                if (!urb) {
-                       dev_err(dev->dev,
-                               "cannot alloc bulk_ctl.urb %i\n", i);
                        cx231xx_uninit_vbi_isoc(dev);
                        return -ENOMEM;
                }
index bf890c3..2679797 100644 (file)
@@ -783,10 +783,8 @@ int dib0700_rc_setup(struct dvb_usb_device *d, struct usb_interface *intf)
        /* Starting in firmware 1.20, the RC info is provided on a bulk pipe */
 
        purb = usb_alloc_urb(0, GFP_KERNEL);
-       if (purb == NULL) {
-               err("rc usb alloc urb failed");
+       if (purb == NULL)
                return -ENOMEM;
-       }
 
        purb->transfer_buffer = kzalloc(RC_MSG_SIZE_V1_20, GFP_KERNEL);
        if (purb->transfer_buffer == NULL) {
index 49a5f95..78f3687 100644 (file)
@@ -850,7 +850,6 @@ static int em28xx_audio_urb_init(struct em28xx *dev)
 
                urb = usb_alloc_urb(npackets, GFP_ATOMIC);
                if (!urb) {
-                       em28xx_errdev("usb_alloc_urb failed!\n");
                        em28xx_audio_free_urb(dev);
                        return -ENOMEM;
                }
index 3745607..eebd5d7 100644 (file)
@@ -934,7 +934,6 @@ int em28xx_alloc_urbs(struct em28xx *dev, enum em28xx_mode mode, int xfer_bulk,
        for (i = 0; i < usb_bufs->num_bufs; i++) {
                urb = usb_alloc_urb(usb_bufs->num_packets, GFP_KERNEL);
                if (!urb) {
-                       em28xx_err("cannot alloc usb_ctl.urb %i\n", i);
                        em28xx_uninit_usb_xfer(dev, mode);
                        return -ENOMEM;
                }
index 790baed..5fa67b7 100644 (file)
@@ -95,10 +95,8 @@ static int sd_start(struct gspca_dev *gspca_dev)
 #define SD_NPKT 32
        for (n = 0; n < 4; n++) {
                urb = usb_alloc_urb(SD_NPKT, GFP_KERNEL);
-               if (!urb) {
-                       pr_err("usb_alloc_urb failed\n");
+               if (!urb)
                        return -ENOMEM;
-               }
                gspca_dev->urb[n] = urb;
                urb->transfer_buffer = usb_alloc_coherent(gspca_dev->dev,
                                                SD_PKT_SZ * SD_NPKT,
index b17bd7e..af2395a 100644 (file)
@@ -795,10 +795,8 @@ static int create_urbs(struct gspca_dev *gspca_dev,
 
        for (n = 0; n < nurbs; n++) {
                urb = usb_alloc_urb(npkt, GFP_KERNEL);
-               if (!urb) {
-                       pr_err("usb_alloc_urb failed\n");
+               if (!urb)
                        return -ENOMEM;
-               }
                gspca_dev->urb[n] = urb;
                urb->transfer_buffer = usb_alloc_coherent(gspca_dev->dev,
                                                bsize,
index 0712b1b..40aaaa9 100644 (file)
@@ -208,10 +208,8 @@ static int sd_start(struct gspca_dev *gspca_dev)
                packet_size =
                        le16_to_cpu(alt->endpoint[i].desc.wMaxPacketSize);
                urb = usb_alloc_urb(SD_NPKT, GFP_KERNEL);
-               if (!urb) {
-                       pr_err("usb_alloc_urb failed\n");
+               if (!urb)
                        return -ENOMEM;
-               }
                gspca_dev->urb[n] = urb;
                urb->transfer_buffer = usb_alloc_coherent(gspca_dev->dev,
                                                packet_size * SD_NPKT,
index b1e229a..c2c8d12 100644 (file)
@@ -691,7 +691,6 @@ static int hackrf_alloc_urbs(struct hackrf_dev *dev, bool rcv)
                dev_dbg(dev->dev, "alloc urb=%d\n", i);
                dev->urb_list[i] = usb_alloc_urb(0, GFP_ATOMIC);
                if (!dev->urb_list[i]) {
-                       dev_dbg(dev->dev, "failed\n");
                        for (j = 0; j < i; j++)
                                usb_free_urb(dev->urb_list[j]);
                        return -ENOMEM;
index 2a3a8b4..6d43d75 100644 (file)
@@ -155,10 +155,8 @@ int hdpvr_alloc_buffers(struct hdpvr_device *dev, uint count)
                buf->dev = dev;
 
                urb = usb_alloc_urb(0, GFP_KERNEL);
-               if (!urb) {
-                       v4l2_err(&dev->v4l2_dev, "cannot allocate urb\n");
+               if (!urb)
                        goto exit_urb;
-               }
                buf->urb = urb;
 
                mem = usb_alloc_coherent(dev->udev, dev->bulk_in_size, GFP_KERNEL,
index e7f167d..367eb7e 100644 (file)
@@ -509,7 +509,6 @@ static int msi2500_isoc_init(struct msi2500_dev *dev)
        for (i = 0; i < MAX_ISO_BUFS; i++) {
                urb = usb_alloc_urb(ISO_FRAMES_PER_DESC, GFP_KERNEL);
                if (urb == NULL) {
-                       dev_err(dev->dev, "Failed to allocate urb %d\n", i);
                        msi2500_isoc_cleanup(dev);
                        return -ENOMEM;
                }
index b51b27a..c4454c9 100644 (file)
@@ -410,7 +410,6 @@ retry:
        for (i = 0; i < MAX_ISO_BUFS; i++) {
                urb = usb_alloc_urb(ISO_FRAMES_PER_DESC, GFP_KERNEL);
                if (urb == NULL) {
-                       PWC_ERROR("Failed to allocate urb %d\n", i);
                        pwc_isoc_cleanup(pdev);
                        return -ENOMEM;
                }
index 43ba71a..9458eb0 100644 (file)
@@ -2113,11 +2113,8 @@ static int s2255_start_readpipe(struct s2255_dev *dev)
        pipe_info->state = 1;
        pipe_info->err_count = 0;
        pipe_info->stream_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!pipe_info->stream_urb) {
-               dev_err(&dev->udev->dev,
-                       "ReadStream: Unable to alloc URB\n");
+       if (!pipe_info->stream_urb)
                return -ENOMEM;
-       }
        /* transfer buffer allocated in board_init */
        usb_fill_bulk_urb(pipe_info->stream_urb, dev->udev,
                          pipe,
@@ -2290,10 +2287,8 @@ static int s2255_probe(struct usb_interface *interface,
        }
 
        dev->fw_data->fw_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!dev->fw_data->fw_urb) {
-               dev_err(&interface->dev, "out of memory!\n");
+       if (!dev->fw_data->fw_urb)
                goto errorFWURB;
-       }
 
        dev->fw_data->pfw_data = kzalloc(CHUNK_SIZE, GFP_KERNEL);
        if (!dev->fw_data->pfw_data) {
index 6ecb0b4..ce8ebbe 100644 (file)
@@ -457,10 +457,8 @@ int stk1160_alloc_isoc(struct stk1160 *dev)
        for (i = 0; i < num_bufs; i++) {
 
                urb = usb_alloc_urb(max_packets, GFP_KERNEL);
-               if (!urb) {
-                       stk1160_err("cannot alloc urb[%d]\n", i);
+               if (!urb)
                        goto free_i_bufs;
-               }
                dev->isoc_ctl.urb[i] = urb;
 
 #ifndef CONFIG_DMA_NONCOHERENT
index c21c4c0..db200c9 100644 (file)
@@ -452,10 +452,8 @@ static int stk_prepare_iso(struct stk_camera *dev)
                        STK_ERROR("isobuf data already allocated\n");
                if (dev->isobufs[i].urb == NULL) {
                        urb = usb_alloc_urb(ISO_FRAMES_PER_DESC, GFP_KERNEL);
-                       if (urb == NULL) {
-                               STK_ERROR("Failed to allocate URB %d\n", i);
+                       if (urb == NULL)
                                goto isobufs_out;
-                       }
                        dev->isobufs[i].urb = urb;
                } else {
                        STK_ERROR("Killing URB\n");
index 095f5db..0426b21 100644 (file)
@@ -129,10 +129,8 @@ static int tm6000_start_stream(struct tm6000_core *dev)
        }
 
        dvb->bulk_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (dvb->bulk_urb == NULL) {
-               printk(KERN_ERR "tm6000: couldn't allocate urb\n");
+       if (dvb->bulk_urb == NULL)
                return -ENOMEM;
-       }
 
        pipe = usb_rcvbulkpipe(dev->udev, dev->bulk_in.endp->desc.bEndpointAddress
                                                          & USB_ENDPOINT_NUMBER_MASK);
index fa5e8bd..dee7e7d 100644 (file)
@@ -635,7 +635,6 @@ static int tm6000_prepare_isoc(struct tm6000_core *dev)
        for (i = 0; i < dev->isoc_ctl.num_bufs; i++) {
                urb = usb_alloc_urb(max_packets, GFP_KERNEL);
                if (!urb) {
-                       tm6000_err("cannot alloc isoc_ctl.urb %i\n", i);
                        tm6000_uninit_isoc(dev);
                        usb_free_urb(urb);
                        return -ENOMEM;
index 52ac439..c23bf73 100644 (file)
@@ -2303,11 +2303,8 @@ int usbvision_init_isoc(struct usb_usbvision *usbvision)
                struct urb *urb;
 
                urb = usb_alloc_urb(USBVISION_URB_FRAMES, GFP_KERNEL);
-               if (urb == NULL) {
-                       dev_err(&usbvision->dev->dev,
-                               "%s: usb_alloc_urb() failed\n", __func__);
+               if (urb == NULL)
                        return -ENOMEM;
-               }
                usbvision->sbuf[buf_idx].urb = urb;
                usbvision->sbuf[buf_idx].data =
                        usb_alloc_coherent(usbvision->dev,
index 7433ba5..cc128db 100644 (file)
@@ -1045,10 +1045,8 @@ static int zr364xx_start_readpipe(struct zr364xx_camera *cam)
        pipe_info->state = 1;
        pipe_info->err_count = 0;
        pipe_info->stream_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!pipe_info->stream_urb) {
-               dev_err(&cam->udev->dev, "ReadStream: Unable to alloc URB\n");
+       if (!pipe_info->stream_urb)
                return -ENOMEM;
-       }
        /* transfer buffer allocated in board_init */
        usb_fill_bulk_urb(pipe_info->stream_urb, cam->udev,
                          pipe,
index 1e819f9..bb3e0d1 100644 (file)
@@ -2116,13 +2116,11 @@ static int vub300_probe(struct usb_interface *interface,
        command_out_urb = usb_alloc_urb(0, GFP_KERNEL);
        if (!command_out_urb) {
                retval = -ENOMEM;
-               dev_err(&udev->dev, "not enough memory for command_out_urb\n");
                goto error0;
        }
        command_res_urb = usb_alloc_urb(0, GFP_KERNEL);
        if (!command_res_urb) {
                retval = -ENOMEM;
-               dev_err(&udev->dev, "not enough memory for command_res_urb\n");
                goto error1;
        }
        /* this also allocates memory for our VUB300 mmc host device */
index 19bff3a..fe00f91 100644 (file)
@@ -24,6 +24,15 @@ config PHY_BCM_NS_USB2
          Enable this to support Broadcom USB 2.0 PHY connected to the USB
          controller on Northstar family.
 
+config PHY_BCM_NS_USB3
+       tristate "Broadcom Northstar USB 3.0 PHY Driver"
+       depends on ARCH_BCM_IPROC || COMPILE_TEST
+       depends on HAS_IOMEM && OF
+       select GENERIC_PHY
+       help
+         Enable this to support Broadcom USB 3.0 PHY connected to the USB
+         controller on Northstar family.
+
 config PHY_BERLIN_USB
        tristate "Marvell Berlin USB PHY Driver"
        depends on ARCH_BERLIN && RESET_CONTROLLER && HAS_IOMEM && OF
@@ -258,7 +267,9 @@ config PHY_SUN4I_USB
        depends on RESET_CONTROLLER
        depends on EXTCON
        depends on POWER_SUPPLY
+       depends on USB_SUPPORT
        select GENERIC_PHY
+       select USB_COMMON
        help
          Enable this to support the transceiver that is part of Allwinner
          sunxi SoCs.
@@ -358,6 +369,14 @@ config PHY_ROCKCHIP_USB
        help
          Enable this to support the Rockchip USB 2.0 PHY.
 
+config PHY_ROCKCHIP_INNO_USB2
+       tristate "Rockchip INNO USB2PHY Driver"
+       depends on (ARCH_ROCKCHIP || COMPILE_TEST) && OF
+       depends on COMMON_CLK
+       select GENERIC_PHY
+       help
+         Support for Rockchip USB2.0 PHY with Innosilicon IP block.
+
 config PHY_ROCKCHIP_EMMC
        tristate "Rockchip EMMC PHY Driver"
        depends on ARCH_ROCKCHIP && OF
@@ -372,6 +391,23 @@ config PHY_ROCKCHIP_DP
        help
          Enable this to support the Rockchip Display Port PHY.
 
+config PHY_ROCKCHIP_PCIE
+       tristate "Rockchip PCIe PHY Driver"
+       depends on (ARCH_ROCKCHIP && OF) || COMPILE_TEST
+       select GENERIC_PHY
+       select MFD_SYSCON
+       help
+         Enable this to support the Rockchip PCIe PHY.
+
+config PHY_ROCKCHIP_TYPEC
+       tristate "Rockchip TYPEC PHY Driver"
+       depends on OF && (ARCH_ROCKCHIP || COMPILE_TEST)
+       select EXTCON
+       select GENERIC_PHY
+       select RESET_CONTROLLER
+       help
+         Enable this to support the Rockchip USB TYPEC PHY.
+
 config PHY_ST_SPEAR1310_MIPHY
        tristate "ST SPEAR1310-MIPHY driver"
        select GENERIC_PHY
index 90ae198..a534cf5 100644 (file)
@@ -4,6 +4,7 @@
 
 obj-$(CONFIG_GENERIC_PHY)              += phy-core.o
 obj-$(CONFIG_PHY_BCM_NS_USB2)          += phy-bcm-ns-usb2.o
+obj-$(CONFIG_PHY_BCM_NS_USB3)          += phy-bcm-ns-usb3.o
 obj-$(CONFIG_PHY_BERLIN_USB)           += phy-berlin-usb.o
 obj-$(CONFIG_PHY_BERLIN_SATA)          += phy-berlin-sata.o
 obj-$(CONFIG_PHY_DA8XX_USB)            += phy-da8xx-usb.o
@@ -39,8 +40,11 @@ phy-exynos-usb2-$(CONFIG_PHY_S5PV210_USB2)   += phy-s5pv210-usb2.o
 obj-$(CONFIG_PHY_EXYNOS5_USBDRD)       += phy-exynos5-usbdrd.o
 obj-$(CONFIG_PHY_QCOM_APQ8064_SATA)    += phy-qcom-apq8064-sata.o
 obj-$(CONFIG_PHY_ROCKCHIP_USB) += phy-rockchip-usb.o
+obj-$(CONFIG_PHY_ROCKCHIP_INNO_USB2)   += phy-rockchip-inno-usb2.o
 obj-$(CONFIG_PHY_ROCKCHIP_EMMC) += phy-rockchip-emmc.o
+obj-$(CONFIG_PHY_ROCKCHIP_PCIE) += phy-rockchip-pcie.o
 obj-$(CONFIG_PHY_ROCKCHIP_DP)          += phy-rockchip-dp.o
+obj-$(CONFIG_PHY_ROCKCHIP_TYPEC) += phy-rockchip-typec.o
 obj-$(CONFIG_PHY_QCOM_IPQ806X_SATA)    += phy-qcom-ipq806x-sata.o
 obj-$(CONFIG_PHY_ST_SPEAR1310_MIPHY)   += phy-spear1310-miphy.o
 obj-$(CONFIG_PHY_ST_SPEAR1340_MIPHY)   += phy-spear1340-miphy.o
diff --git a/drivers/phy/phy-bcm-ns-usb3.c b/drivers/phy/phy-bcm-ns-usb3.c
new file mode 100644 (file)
index 0000000..f420fa4
--- /dev/null
@@ -0,0 +1,274 @@
+/*
+ * Broadcom Northstar USB 3.0 PHY Driver
+ *
+ * Copyright (C) 2016 RafaÅ‚ MiÅ‚ecki <rafal@milecki.pl>
+ *
+ * All magic values used for initialization (and related comments) were obtained
+ * from Broadcom's SDK:
+ * Copyright (c) Broadcom Corp, 2012
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License version 2 as
+ * published by the Free Software Foundation.
+ */
+
+#include <linux/bcma/bcma.h>
+#include <linux/delay.h>
+#include <linux/err.h>
+#include <linux/module.h>
+#include <linux/of_platform.h>
+#include <linux/platform_device.h>
+#include <linux/phy/phy.h>
+#include <linux/slab.h>
+
+#define BCM_NS_USB3_MII_MNG_TIMEOUT_US 1000    /* usecs */
+
+enum bcm_ns_family {
+       BCM_NS_UNKNOWN,
+       BCM_NS_AX,
+       BCM_NS_BX,
+};
+
+struct bcm_ns_usb3 {
+       struct device *dev;
+       enum bcm_ns_family family;
+       void __iomem *dmp;
+       void __iomem *ccb_mii;
+       struct phy *phy;
+};
+
+static const struct of_device_id bcm_ns_usb3_id_table[] = {
+       {
+               .compatible = "brcm,ns-ax-usb3-phy",
+               .data = (int *)BCM_NS_AX,
+       },
+       {
+               .compatible = "brcm,ns-bx-usb3-phy",
+               .data = (int *)BCM_NS_BX,
+       },
+       {},
+};
+MODULE_DEVICE_TABLE(of, bcm_ns_usb3_id_table);
+
+static int bcm_ns_usb3_wait_reg(struct bcm_ns_usb3 *usb3, void __iomem *addr,
+                               u32 mask, u32 value, unsigned long timeout)
+{
+       unsigned long deadline = jiffies + timeout;
+       u32 val;
+
+       do {
+               val = readl(addr);
+               if ((val & mask) == value)
+                       return 0;
+               cpu_relax();
+               udelay(10);
+       } while (!time_after_eq(jiffies, deadline));
+
+       dev_err(usb3->dev, "Timeout waiting for register %p\n", addr);
+
+       return -EBUSY;
+}
+
+static inline int bcm_ns_usb3_mii_mng_wait_idle(struct bcm_ns_usb3 *usb3)
+{
+       return bcm_ns_usb3_wait_reg(usb3, usb3->ccb_mii + BCMA_CCB_MII_MNG_CTL,
+                                   0x0100, 0x0000,
+                                   usecs_to_jiffies(BCM_NS_USB3_MII_MNG_TIMEOUT_US));
+}
+
+static int bcm_ns_usb3_mii_mng_write32(struct bcm_ns_usb3 *usb3, u32 value)
+{
+       int err;
+
+       err = bcm_ns_usb3_mii_mng_wait_idle(usb3);
+       if (err < 0) {
+               dev_err(usb3->dev, "Couldn't write 0x%08x value\n", value);
+               return err;
+       }
+
+       writel(value, usb3->ccb_mii + BCMA_CCB_MII_MNG_CMD_DATA);
+
+       return 0;
+}
+
+static int bcm_ns_usb3_phy_init_ns_bx(struct bcm_ns_usb3 *usb3)
+{
+       int err;
+
+       /* Enable MDIO. Setting MDCDIV as 26  */
+       writel(0x0000009a, usb3->ccb_mii + BCMA_CCB_MII_MNG_CTL);
+
+       /* Wait for MDIO? */
+       udelay(2);
+
+       /* USB3 PLL Block */
+       err = bcm_ns_usb3_mii_mng_write32(usb3, 0x587e8000);
+       if (err < 0)
+               return err;
+
+       /* Assert Ana_Pllseq start */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x58061000);
+
+       /* Assert CML Divider ratio to 26 */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x582a6400);
+
+       /* Asserting PLL Reset */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x582ec000);
+
+       /* Deaaserting PLL Reset */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x582e8000);
+
+       /* Waiting MII Mgt interface idle */
+       bcm_ns_usb3_mii_mng_wait_idle(usb3);
+
+       /* Deasserting USB3 system reset */
+       writel(0, usb3->dmp + BCMA_RESET_CTL);
+
+       /* PLL frequency monitor enable */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x58069000);
+
+       /* PIPE Block */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x587e8060);
+
+       /* CMPMAX & CMPMINTH setting */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x580af30d);
+
+       /* DEGLITCH MIN & MAX setting */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x580e6302);
+
+       /* TXPMD block */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x587e8040);
+
+       /* Enabling SSC */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x58061003);
+
+       /* Waiting MII Mgt interface idle */
+       bcm_ns_usb3_mii_mng_wait_idle(usb3);
+
+       return 0;
+}
+
+static int bcm_ns_usb3_phy_init_ns_ax(struct bcm_ns_usb3 *usb3)
+{
+       int err;
+
+       /* Enable MDIO. Setting MDCDIV as 26  */
+       writel(0x0000009a, usb3->ccb_mii + BCMA_CCB_MII_MNG_CTL);
+
+       /* Wait for MDIO? */
+       udelay(2);
+
+       /* PLL30 block */
+       err = bcm_ns_usb3_mii_mng_write32(usb3, 0x587e8000);
+       if (err < 0)
+               return err;
+
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x582a6400);
+
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x587e80e0);
+
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x580a009c);
+
+       /* Enable SSC */
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x587e8040);
+
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x580a21d3);
+
+       bcm_ns_usb3_mii_mng_write32(usb3, 0x58061003);
+
+       /* Waiting MII Mgt interface idle */
+       bcm_ns_usb3_mii_mng_wait_idle(usb3);
+
+       /* Deasserting USB3 system reset */
+       writel(0, usb3->dmp + BCMA_RESET_CTL);
+
+       return 0;
+}
+
+static int bcm_ns_usb3_phy_init(struct phy *phy)
+{
+       struct bcm_ns_usb3 *usb3 = phy_get_drvdata(phy);
+       int err;
+
+       /* Perform USB3 system soft reset */
+       writel(BCMA_RESET_CTL_RESET, usb3->dmp + BCMA_RESET_CTL);
+
+       switch (usb3->family) {
+       case BCM_NS_AX:
+               err = bcm_ns_usb3_phy_init_ns_ax(usb3);
+               break;
+       case BCM_NS_BX:
+               err = bcm_ns_usb3_phy_init_ns_bx(usb3);
+               break;
+       default:
+               WARN_ON(1);
+               err = -ENOTSUPP;
+       }
+
+       return err;
+}
+
+static const struct phy_ops ops = {
+       .init           = bcm_ns_usb3_phy_init,
+       .owner          = THIS_MODULE,
+};
+
+static int bcm_ns_usb3_probe(struct platform_device *pdev)
+{
+       struct device *dev = &pdev->dev;
+       const struct of_device_id *of_id;
+       struct bcm_ns_usb3 *usb3;
+       struct resource *res;
+       struct phy_provider *phy_provider;
+
+       usb3 = devm_kzalloc(dev, sizeof(*usb3), GFP_KERNEL);
+       if (!usb3)
+               return -ENOMEM;
+
+       usb3->dev = dev;
+
+       of_id = of_match_device(bcm_ns_usb3_id_table, dev);
+       if (!of_id)
+               return -EINVAL;
+       usb3->family = (enum bcm_ns_family)of_id->data;
+
+       res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "dmp");
+       usb3->dmp = devm_ioremap_resource(dev, res);
+       if (IS_ERR(usb3->dmp)) {
+               dev_err(dev, "Failed to map DMP regs\n");
+               return PTR_ERR(usb3->dmp);
+       }
+
+       res = platform_get_resource_byname(pdev, IORESOURCE_MEM, "ccb-mii");
+       usb3->ccb_mii = devm_ioremap_resource(dev, res);
+       if (IS_ERR(usb3->ccb_mii)) {
+               dev_err(dev, "Failed to map ChipCommon B MII regs\n");
+               return PTR_ERR(usb3->ccb_mii);
+       }
+
+       usb3->phy = devm_phy_create(dev, NULL, &ops);
+       if (IS_ERR(usb3->phy)) {
+               dev_err(dev, "Failed to create PHY\n");
+               return PTR_ERR(usb3->phy);
+       }
+
+       phy_set_drvdata(usb3->phy, usb3);
+       platform_set_drvdata(pdev, usb3);
+
+       phy_provider = devm_of_phy_provider_register(dev, of_phy_simple_xlate);
+       if (!IS_ERR(phy_provider))
+               dev_info(dev, "Registered Broadcom Northstar USB 3.0 PHY driver\n");
+
+       return PTR_ERR_OR_ZERO(phy_provider);
+}
+
+static struct platform_driver bcm_ns_usb3_driver = {
+       .probe          = bcm_ns_usb3_probe,
+       .driver = {
+               .name = "bcm_ns_usb3",
+               .of_match_table = bcm_ns_usb3_id_table,
+       },
+};
+module_platform_driver(bcm_ns_usb3_driver);
+
+MODULE_LICENSE("GPL v2");
index 9513f7a..4c7d11d 100644 (file)
 #include <linux/phy.h>
 #include <linux/phy/phy.h>
 
-struct ns2_pci_phy {
-       struct mdio_device *mdiodev;
-       struct phy *phy;
-};
-
 #define BLK_ADDR_REG_OFFSET    0x1f
 #define PLL_AFE1_100MHZ_BLK    0x2100
 #define PLL_CLK_AMP_OFFSET     0x03
@@ -30,17 +25,17 @@ struct ns2_pci_phy {
 
 static int ns2_pci_phy_init(struct phy *p)
 {
-       struct ns2_pci_phy *phy = phy_get_drvdata(p);
+       struct mdio_device *mdiodev = phy_get_drvdata(p);
        int rc;
 
        /* select the AFE 100MHz block page */
-       rc = mdiobus_write(phy->mdiodev->bus, phy->mdiodev->addr,
+       rc = mdiobus_write(mdiodev->bus, mdiodev->addr,
                           BLK_ADDR_REG_OFFSET, PLL_AFE1_100MHZ_BLK);
        if (rc)
                goto err;
 
        /* set the 100 MHz reference clock amplitude to 2.05 v */
-       rc = mdiobus_write(phy->mdiodev->bus, phy->mdiodev->addr,
+       rc = mdiobus_write(mdiodev->bus, mdiodev->addr,
                           PLL_CLK_AMP_OFFSET, PLL_CLK_AMP_2P05V);
        if (rc)
                goto err;
@@ -48,19 +43,19 @@ static int ns2_pci_phy_init(struct phy *p)
        return 0;
 
 err:
-       dev_err(&phy->mdiodev->dev, "Error %d writing to phy\n", rc);
+       dev_err(&mdiodev->dev, "Error %d writing to phy\n", rc);
        return rc;
 }
 
-static struct phy_ops ns2_pci_phy_ops = {
+static const struct phy_ops ns2_pci_phy_ops = {
        .init = ns2_pci_phy_init,
+       .owner = THIS_MODULE,
 };
 
 static int ns2_pci_phy_probe(struct mdio_device *mdiodev)
 {
        struct device *dev = &mdiodev->dev;
        struct phy_provider *provider;
-       struct ns2_pci_phy *p;
        struct phy *phy;
 
        phy = devm_phy_create(dev, dev->of_node, &ns2_pci_phy_ops);
@@ -69,16 +64,7 @@ static int ns2_pci_phy_probe(struct mdio_device *mdiodev)
                return PTR_ERR(phy);
        }
 
-       p = devm_kmalloc(dev, sizeof(struct ns2_pci_phy),
-                        GFP_KERNEL);
-       if (!p)
-               return -ENOMEM;
-
-       p->mdiodev = mdiodev;
-       dev_set_drvdata(dev, p);
-
-       p->phy = phy;
-       phy_set_drvdata(phy, p);
+       phy_set_drvdata(phy, mdiodev);
 
        provider = devm_of_phy_provider_register(&phy->dev,
                                                 of_phy_simple_xlate);
index 8eca906..a268f4d 100644 (file)
@@ -357,6 +357,21 @@ int phy_set_mode(struct phy *phy, enum phy_mode mode)
 }
 EXPORT_SYMBOL_GPL(phy_set_mode);
 
+int phy_reset(struct phy *phy)
+{
+       int ret;
+
+       if (!phy || !phy->ops->reset)
+               return 0;
+
+       mutex_lock(&phy->mutex);
+       ret = phy->ops->reset(phy);
+       mutex_unlock(&phy->mutex);
+
+       return ret;
+}
+EXPORT_SYMBOL_GPL(phy_reset);
+
 /**
  * _of_phy_get() - lookup and obtain a reference to a phy by phandle
  * @np: device_node for which to get the phy
index b2e59b6..32ae78c 100644 (file)
@@ -154,7 +154,7 @@ static int da8xx_usb_phy_probe(struct platform_device *pdev)
                d_phy->regmap = syscon_regmap_lookup_by_compatible(
                                                        "ti,da830-cfgchip");
        else
-               d_phy->regmap = syscon_regmap_lookup_by_pdevname("syscon.0");
+               d_phy->regmap = syscon_regmap_lookup_by_pdevname("syscon");
        if (IS_ERR(d_phy->regmap)) {
                dev_err(dev, "Failed to get syscon\n");
                return PTR_ERR(d_phy->regmap);
index 20696f5..07ed608 100644 (file)
@@ -249,7 +249,7 @@ static void exynos5_usbdrd_phy_isol(struct phy_usb_instance *inst,
 static unsigned int
 exynos5_usbdrd_pipe3_set_refclk(struct phy_usb_instance *inst)
 {
-       static u32 reg;
+       u32 reg;
        struct exynos5_usbdrd_phy *phy_drd = to_usbdrd_phy(inst);
 
        /* restore any previous reference clock settings */
@@ -295,7 +295,7 @@ exynos5_usbdrd_pipe3_set_refclk(struct phy_usb_instance *inst)
 static unsigned int
 exynos5_usbdrd_utmi_set_refclk(struct phy_usb_instance *inst)
 {
-       static u32 reg;
+       u32 reg;
        struct exynos5_usbdrd_phy *phy_drd = to_usbdrd_phy(inst);
 
        /* restore any previous reference clock settings */
index c134989..fe909fd 100644 (file)
@@ -133,11 +133,49 @@ static int omap_usb_power_on(struct phy *x)
        return omap_usb_phy_power(phy, true);
 }
 
+static int omap_usb2_disable_clocks(struct omap_usb *phy)
+{
+       clk_disable(phy->wkupclk);
+       if (!IS_ERR(phy->optclk))
+               clk_disable(phy->optclk);
+
+       return 0;
+}
+
+static int omap_usb2_enable_clocks(struct omap_usb *phy)
+{
+       int ret;
+
+       ret = clk_enable(phy->wkupclk);
+       if (ret < 0) {
+               dev_err(phy->dev, "Failed to enable wkupclk %d\n", ret);
+               goto err0;
+       }
+
+       if (!IS_ERR(phy->optclk)) {
+               ret = clk_enable(phy->optclk);
+               if (ret < 0) {
+                       dev_err(phy->dev, "Failed to enable optclk %d\n", ret);
+                       goto err1;
+               }
+       }
+
+       return 0;
+
+err1:
+       clk_disable(phy->wkupclk);
+
+err0:
+       return ret;
+}
+
 static int omap_usb_init(struct phy *x)
 {
        struct omap_usb *phy = phy_get_drvdata(x);
        u32 val;
 
+       omap_usb2_enable_clocks(phy);
+
        if (phy->flags & OMAP_USB2_CALIBRATE_FALSE_DISCONNECT) {
                /*
                 *
@@ -155,8 +193,16 @@ static int omap_usb_init(struct phy *x)
        return 0;
 }
 
+static int omap_usb_exit(struct phy *x)
+{
+       struct omap_usb *phy = phy_get_drvdata(x);
+
+       return omap_usb2_disable_clocks(phy);
+}
+
 static const struct phy_ops ops = {
        .init           = omap_usb_init,
+       .exit           = omap_usb_exit,
        .power_on       = omap_usb_power_on,
        .power_off      = omap_usb_power_off,
        .owner          = THIS_MODULE,
@@ -376,65 +422,11 @@ static int omap_usb2_remove(struct platform_device *pdev)
        return 0;
 }
 
-#ifdef CONFIG_PM
-
-static int omap_usb2_runtime_suspend(struct device *dev)
-{
-       struct platform_device  *pdev = to_platform_device(dev);
-       struct omap_usb *phy = platform_get_drvdata(pdev);
-
-       clk_disable(phy->wkupclk);
-       if (!IS_ERR(phy->optclk))
-               clk_disable(phy->optclk);
-
-       return 0;
-}
-
-static int omap_usb2_runtime_resume(struct device *dev)
-{
-       struct platform_device  *pdev = to_platform_device(dev);
-       struct omap_usb *phy = platform_get_drvdata(pdev);
-       int ret;
-
-       ret = clk_enable(phy->wkupclk);
-       if (ret < 0) {
-               dev_err(phy->dev, "Failed to enable wkupclk %d\n", ret);
-               goto err0;
-       }
-
-       if (!IS_ERR(phy->optclk)) {
-               ret = clk_enable(phy->optclk);
-               if (ret < 0) {
-                       dev_err(phy->dev, "Failed to enable optclk %d\n", ret);
-                       goto err1;
-               }
-       }
-
-       return 0;
-
-err1:
-       clk_disable(phy->wkupclk);
-
-err0:
-       return ret;
-}
-
-static const struct dev_pm_ops omap_usb2_pm_ops = {
-       SET_RUNTIME_PM_OPS(omap_usb2_runtime_suspend, omap_usb2_runtime_resume,
-               NULL)
-};
-
-#define DEV_PM_OPS     (&omap_usb2_pm_ops)
-#else
-#define DEV_PM_OPS     NULL
-#endif
-
 static struct platform_driver omap_usb2_driver = {
        .probe          = omap_usb2_probe,
        .remove         = omap_usb2_remove,
        .driver         = {
                .name   = "omap-usb2",
-               .pm     = DEV_PM_OPS,
                .of_match_table = omap_usb2_id_table,
        },
 };
index 107cb57..18a5b49 100644 (file)
@@ -283,10 +283,8 @@ static int __ufs_qcom_phy_init_vreg(struct phy *phy,
                        err = 0;
                }
                snprintf(prop_name, MAX_PROP_NAME, "%s-always-on", name);
-               if (of_get_property(dev->of_node, prop_name, NULL))
-                       vreg->is_always_on = true;
-               else
-                       vreg->is_always_on = false;
+               vreg->is_always_on = of_property_read_bool(dev->of_node,
+                                                          prop_name);
        }
 
        if (!strcmp(name, "vdda-pll")) {
index 31156c9..3d97ead 100644 (file)
@@ -280,6 +280,7 @@ static irqreturn_t rcar_gen3_phy_usb2_irq(int irq, void *_ch)
 
 static const struct of_device_id rcar_gen3_phy_usb2_match_table[] = {
        { .compatible = "renesas,usb2-phy-r8a7795" },
+       { .compatible = "renesas,usb2-phy-r8a7796" },
        { .compatible = "renesas,rcar-gen3-usb2-phy" },
        { }
 };
diff --git a/drivers/phy/phy-rockchip-inno-usb2.c b/drivers/phy/phy-rockchip-inno-usb2.c
new file mode 100644 (file)
index 0000000..ac20310
--- /dev/null
@@ -0,0 +1,707 @@
+/*
+ * Rockchip USB2.0 PHY with Innosilicon IP block driver
+ *
+ * Copyright (C) 2016 Fuzhou Rockchip Electronics Co., Ltd
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ */
+
+#include <linux/clk.h>
+#include <linux/clk-provider.h>
+#include <linux/delay.h>
+#include <linux/interrupt.h>
+#include <linux/io.h>
+#include <linux/gpio/consumer.h>
+#include <linux/jiffies.h>
+#include <linux/kernel.h>
+#include <linux/module.h>
+#include <linux/mutex.h>
+#include <linux/of.h>
+#include <linux/of_address.h>
+#include <linux/of_irq.h>
+#include <linux/of_platform.h>
+#include <linux/phy/phy.h>
+#include <linux/platform_device.h>
+#include <linux/regmap.h>
+#include <linux/mfd/syscon.h>
+
+#define BIT_WRITEABLE_SHIFT    16
+#define SCHEDULE_DELAY (60 * HZ)
+
+enum rockchip_usb2phy_port_id {
+       USB2PHY_PORT_OTG,
+       USB2PHY_PORT_HOST,
+       USB2PHY_NUM_PORTS,
+};
+
+enum rockchip_usb2phy_host_state {
+       PHY_STATE_HS_ONLINE     = 0,
+       PHY_STATE_DISCONNECT    = 1,
+       PHY_STATE_CONNECT       = 2,
+       PHY_STATE_FS_LS_ONLINE  = 4,
+};
+
+struct usb2phy_reg {
+       unsigned int    offset;
+       unsigned int    bitend;
+       unsigned int    bitstart;
+       unsigned int    disable;
+       unsigned int    enable;
+};
+
+/**
+ * struct rockchip_usb2phy_port_cfg: usb-phy port configuration.
+ * @phy_sus: phy suspend register.
+ * @ls_det_en: linestate detection enable register.
+ * @ls_det_st: linestate detection state register.
+ * @ls_det_clr: linestate detection clear register.
+ * @utmi_ls: utmi linestate state register.
+ * @utmi_hstdet: utmi host disconnect register.
+ */
+struct rockchip_usb2phy_port_cfg {
+       struct usb2phy_reg      phy_sus;
+       struct usb2phy_reg      ls_det_en;
+       struct usb2phy_reg      ls_det_st;
+       struct usb2phy_reg      ls_det_clr;
+       struct usb2phy_reg      utmi_ls;
+       struct usb2phy_reg      utmi_hstdet;
+};
+
+/**
+ * struct rockchip_usb2phy_cfg: usb-phy configuration.
+ * @reg: the address offset of grf for usb-phy config.
+ * @num_ports: specify how many ports that the phy has.
+ * @clkout_ctl: keep on/turn off output clk of phy.
+ */
+struct rockchip_usb2phy_cfg {
+       unsigned int    reg;
+       unsigned int    num_ports;
+       struct usb2phy_reg      clkout_ctl;
+       const struct rockchip_usb2phy_port_cfg  port_cfgs[USB2PHY_NUM_PORTS];
+};
+
+/**
+ * struct rockchip_usb2phy_port: usb-phy port data.
+ * @port_id: flag for otg port or host port.
+ * @suspended: phy suspended flag.
+ * @ls_irq: IRQ number assigned for linestate detection.
+ * @mutex: for register updating in sm_work.
+ * @sm_work: OTG state machine work.
+ * @phy_cfg: port register configuration, assigned by driver data.
+ */
+struct rockchip_usb2phy_port {
+       struct phy      *phy;
+       unsigned int    port_id;
+       bool            suspended;
+       int             ls_irq;
+       struct mutex    mutex;
+       struct          delayed_work sm_work;
+       const struct    rockchip_usb2phy_port_cfg *port_cfg;
+};
+
+/**
+ * struct rockchip_usb2phy: usb2.0 phy driver data.
+ * @grf: General Register Files regmap.
+ * @clk: clock struct of phy input clk.
+ * @clk480m: clock struct of phy output clk.
+ * @clk_hw: clock struct of phy output clk management.
+ * @phy_cfg: phy register configuration, assigned by driver data.
+ * @ports: phy port instance.
+ */
+struct rockchip_usb2phy {
+       struct device   *dev;
+       struct regmap   *grf;
+       struct clk      *clk;
+       struct clk      *clk480m;
+       struct clk_hw   clk480m_hw;
+       const struct rockchip_usb2phy_cfg       *phy_cfg;
+       struct rockchip_usb2phy_port    ports[USB2PHY_NUM_PORTS];
+};
+
+static inline int property_enable(struct rockchip_usb2phy *rphy,
+                                 const struct usb2phy_reg *reg, bool en)
+{
+       unsigned int val, mask, tmp;
+
+       tmp = en ? reg->enable : reg->disable;
+       mask = GENMASK(reg->bitend, reg->bitstart);
+       val = (tmp << reg->bitstart) | (mask << BIT_WRITEABLE_SHIFT);
+
+       return regmap_write(rphy->grf, reg->offset, val);
+}
+
+static inline bool property_enabled(struct rockchip_usb2phy *rphy,
+                                   const struct usb2phy_reg *reg)
+{
+       int ret;
+       unsigned int tmp, orig;
+       unsigned int mask = GENMASK(reg->bitend, reg->bitstart);
+
+       ret = regmap_read(rphy->grf, reg->offset, &orig);
+       if (ret)
+               return false;
+
+       tmp = (orig & mask) >> reg->bitstart;
+       return tmp == reg->enable;
+}
+
+static int rockchip_usb2phy_clk480m_enable(struct clk_hw *hw)
+{
+       struct rockchip_usb2phy *rphy =
+               container_of(hw, struct rockchip_usb2phy, clk480m_hw);
+       int ret;
+
+       /* turn on 480m clk output if it is off */
+       if (!property_enabled(rphy, &rphy->phy_cfg->clkout_ctl)) {
+               ret = property_enable(rphy, &rphy->phy_cfg->clkout_ctl, true);
+               if (ret)
+                       return ret;
+
+               /* waitting for the clk become stable */
+               mdelay(1);
+       }
+
+       return 0;
+}
+
+static void rockchip_usb2phy_clk480m_disable(struct clk_hw *hw)
+{
+       struct rockchip_usb2phy *rphy =
+               container_of(hw, struct rockchip_usb2phy, clk480m_hw);
+
+       /* turn off 480m clk output */
+       property_enable(rphy, &rphy->phy_cfg->clkout_ctl, false);
+}
+
+static int rockchip_usb2phy_clk480m_enabled(struct clk_hw *hw)
+{
+       struct rockchip_usb2phy *rphy =
+               container_of(hw, struct rockchip_usb2phy, clk480m_hw);
+
+       return property_enabled(rphy, &rphy->phy_cfg->clkout_ctl);
+}
+
+static unsigned long
+rockchip_usb2phy_clk480m_recalc_rate(struct clk_hw *hw,
+                                    unsigned long parent_rate)
+{
+       return 480000000;
+}
+
+static const struct clk_ops rockchip_usb2phy_clkout_ops = {
+       .enable = rockchip_usb2phy_clk480m_enable,
+       .disable = rockchip_usb2phy_clk480m_disable,
+       .is_enabled = rockchip_usb2phy_clk480m_enabled,
+       .recalc_rate = rockchip_usb2phy_clk480m_recalc_rate,
+};
+
+static void rockchip_usb2phy_clk480m_unregister(void *data)
+{
+       struct rockchip_usb2phy *rphy = data;
+
+       of_clk_del_provider(rphy->dev->of_node);
+       clk_unregister(rphy->clk480m);
+}
+
+static int
+rockchip_usb2phy_clk480m_register(struct rockchip_usb2phy *rphy)
+{
+       struct device_node *node = rphy->dev->of_node;
+       struct clk_init_data init;
+       const char *clk_name;
+       int ret;
+
+       init.flags = 0;
+       init.name = "clk_usbphy_480m";
+       init.ops = &rockchip_usb2phy_clkout_ops;
+
+       /* optional override of the clockname */
+       of_property_read_string(node, "clock-output-names", &init.name);
+
+       if (rphy->clk) {
+               clk_name = __clk_get_name(rphy->clk);
+               init.parent_names = &clk_name;
+               init.num_parents = 1;
+       } else {
+               init.parent_names = NULL;
+               init.num_parents = 0;
+       }
+
+       rphy->clk480m_hw.init = &init;
+
+       /* register the clock */
+       rphy->clk480m = clk_register(rphy->dev, &rphy->clk480m_hw);
+       if (IS_ERR(rphy->clk480m)) {
+               ret = PTR_ERR(rphy->clk480m);
+               goto err_ret;
+       }
+
+       ret = of_clk_add_provider(node, of_clk_src_simple_get, rphy->clk480m);
+       if (ret < 0)
+               goto err_clk_provider;
+
+       ret = devm_add_action(rphy->dev, rockchip_usb2phy_clk480m_unregister,
+                             rphy);
+       if (ret < 0)
+               goto err_unreg_action;
+
+       return 0;
+
+err_unreg_action:
+       of_clk_del_provider(node);
+err_clk_provider:
+       clk_unregister(rphy->clk480m);
+err_ret:
+       return ret;
+}
+
+static int rockchip_usb2phy_init(struct phy *phy)
+{
+       struct rockchip_usb2phy_port *rport = phy_get_drvdata(phy);
+       struct rockchip_usb2phy *rphy = dev_get_drvdata(phy->dev.parent);
+       int ret;
+
+       if (rport->port_id == USB2PHY_PORT_HOST) {
+               /* clear linestate and enable linestate detect irq */
+               mutex_lock(&rport->mutex);
+
+               ret = property_enable(rphy, &rport->port_cfg->ls_det_clr, true);
+               if (ret) {
+                       mutex_unlock(&rport->mutex);
+                       return ret;
+               }
+
+               ret = property_enable(rphy, &rport->port_cfg->ls_det_en, true);
+               if (ret) {
+                       mutex_unlock(&rport->mutex);
+                       return ret;
+               }
+
+               mutex_unlock(&rport->mutex);
+               schedule_delayed_work(&rport->sm_work, SCHEDULE_DELAY);
+       }
+
+       return 0;
+}
+
+static int rockchip_usb2phy_power_on(struct phy *phy)
+{
+       struct rockchip_usb2phy_port *rport = phy_get_drvdata(phy);
+       struct rockchip_usb2phy *rphy = dev_get_drvdata(phy->dev.parent);
+       int ret;
+
+       dev_dbg(&rport->phy->dev, "port power on\n");
+
+       if (!rport->suspended)
+               return 0;
+
+       ret = clk_prepare_enable(rphy->clk480m);
+       if (ret)
+               return ret;
+
+       ret = property_enable(rphy, &rport->port_cfg->phy_sus, false);
+       if (ret)
+               return ret;
+
+       rport->suspended = false;
+       return 0;
+}
+
+static int rockchip_usb2phy_power_off(struct phy *phy)
+{
+       struct rockchip_usb2phy_port *rport = phy_get_drvdata(phy);
+       struct rockchip_usb2phy *rphy = dev_get_drvdata(phy->dev.parent);
+       int ret;
+
+       dev_dbg(&rport->phy->dev, "port power off\n");
+
+       if (rport->suspended)
+               return 0;
+
+       ret = property_enable(rphy, &rport->port_cfg->phy_sus, true);
+       if (ret)
+               return ret;
+
+       rport->suspended = true;
+       clk_disable_unprepare(rphy->clk480m);
+
+       return 0;
+}
+
+static int rockchip_usb2phy_exit(struct phy *phy)
+{
+       struct rockchip_usb2phy_port *rport = phy_get_drvdata(phy);
+
+       if (rport->port_id == USB2PHY_PORT_HOST)
+               cancel_delayed_work_sync(&rport->sm_work);
+
+       return 0;
+}
+
+static const struct phy_ops rockchip_usb2phy_ops = {
+       .init           = rockchip_usb2phy_init,
+       .exit           = rockchip_usb2phy_exit,
+       .power_on       = rockchip_usb2phy_power_on,
+       .power_off      = rockchip_usb2phy_power_off,
+       .owner          = THIS_MODULE,
+};
+
+/*
+ * The function manage host-phy port state and suspend/resume phy port
+ * to save power.
+ *
+ * we rely on utmi_linestate and utmi_hostdisconnect to identify whether
+ * devices is disconnect or not. Besides, we do not need care it is FS/LS
+ * disconnected or HS disconnected, actually, we just only need get the
+ * device is disconnected at last through rearm the delayed work,
+ * to suspend the phy port in _PHY_STATE_DISCONNECT_ case.
+ *
+ * NOTE: It may invoke *phy_powr_off or *phy_power_on which will invoke
+ * some clk related APIs, so do not invoke it from interrupt context directly.
+ */
+static void rockchip_usb2phy_sm_work(struct work_struct *work)
+{
+       struct rockchip_usb2phy_port *rport =
+               container_of(work, struct rockchip_usb2phy_port, sm_work.work);
+       struct rockchip_usb2phy *rphy = dev_get_drvdata(rport->phy->dev.parent);
+       unsigned int sh = rport->port_cfg->utmi_hstdet.bitend -
+                         rport->port_cfg->utmi_hstdet.bitstart + 1;
+       unsigned int ul, uhd, state;
+       unsigned int ul_mask, uhd_mask;
+       int ret;
+
+       mutex_lock(&rport->mutex);
+
+       ret = regmap_read(rphy->grf, rport->port_cfg->utmi_ls.offset, &ul);
+       if (ret < 0)
+               goto next_schedule;
+
+       ret = regmap_read(rphy->grf, rport->port_cfg->utmi_hstdet.offset,
+                         &uhd);
+       if (ret < 0)
+               goto next_schedule;
+
+       uhd_mask = GENMASK(rport->port_cfg->utmi_hstdet.bitend,
+                          rport->port_cfg->utmi_hstdet.bitstart);
+       ul_mask = GENMASK(rport->port_cfg->utmi_ls.bitend,
+                         rport->port_cfg->utmi_ls.bitstart);
+
+       /* stitch on utmi_ls and utmi_hstdet as phy state */
+       state = ((uhd & uhd_mask) >> rport->port_cfg->utmi_hstdet.bitstart) |
+               (((ul & ul_mask) >> rport->port_cfg->utmi_ls.bitstart) << sh);
+
+       switch (state) {
+       case PHY_STATE_HS_ONLINE:
+               dev_dbg(&rport->phy->dev, "HS online\n");
+               break;
+       case PHY_STATE_FS_LS_ONLINE:
+               /*
+                * For FS/LS device, the online state share with connect state
+                * from utmi_ls and utmi_hstdet register, so we distinguish
+                * them via suspended flag.
+                *
+                * Plus, there are two cases, one is D- Line pull-up, and D+
+                * line pull-down, the state is 4; another is D+ line pull-up,
+                * and D- line pull-down, the state is 2.
+                */
+               if (!rport->suspended) {
+                       /* D- line pull-up, D+ line pull-down */
+                       dev_dbg(&rport->phy->dev, "FS/LS online\n");
+                       break;
+               }
+               /* fall through */
+       case PHY_STATE_CONNECT:
+               if (rport->suspended) {
+                       dev_dbg(&rport->phy->dev, "Connected\n");
+                       rockchip_usb2phy_power_on(rport->phy);
+                       rport->suspended = false;
+               } else {
+                       /* D+ line pull-up, D- line pull-down */
+                       dev_dbg(&rport->phy->dev, "FS/LS online\n");
+               }
+               break;
+       case PHY_STATE_DISCONNECT:
+               if (!rport->suspended) {
+                       dev_dbg(&rport->phy->dev, "Disconnected\n");
+                       rockchip_usb2phy_power_off(rport->phy);
+                       rport->suspended = true;
+               }
+
+               /*
+                * activate the linestate detection to get the next device
+                * plug-in irq.
+                */
+               property_enable(rphy, &rport->port_cfg->ls_det_clr, true);
+               property_enable(rphy, &rport->port_cfg->ls_det_en, true);
+
+               /*
+                * we don't need to rearm the delayed work when the phy port
+                * is suspended.
+                */
+               mutex_unlock(&rport->mutex);
+               return;
+       default:
+               dev_dbg(&rport->phy->dev, "unknown phy state\n");
+               break;
+       }
+
+next_schedule:
+       mutex_unlock(&rport->mutex);
+       schedule_delayed_work(&rport->sm_work, SCHEDULE_DELAY);
+}
+
+static irqreturn_t rockchip_usb2phy_linestate_irq(int irq, void *data)
+{
+       struct rockchip_usb2phy_port *rport = data;
+       struct rockchip_usb2phy *rphy = dev_get_drvdata(rport->phy->dev.parent);
+
+       if (!property_enabled(rphy, &rport->port_cfg->ls_det_st))
+               return IRQ_NONE;
+
+       mutex_lock(&rport->mutex);
+
+       /* disable linestate detect irq and clear its status */
+       property_enable(rphy, &rport->port_cfg->ls_det_en, false);
+       property_enable(rphy, &rport->port_cfg->ls_det_clr, true);
+
+       mutex_unlock(&rport->mutex);
+
+       /*
+        * In this case for host phy port, a new device is plugged in,
+        * meanwhile, if the phy port is suspended, we need rearm the work to
+        * resume it and mange its states; otherwise, we do nothing about that.
+        */
+       if (rport->suspended && rport->port_id == USB2PHY_PORT_HOST)
+               rockchip_usb2phy_sm_work(&rport->sm_work.work);
+
+       return IRQ_HANDLED;
+}
+
+static int rockchip_usb2phy_host_port_init(struct rockchip_usb2phy *rphy,
+                                          struct rockchip_usb2phy_port *rport,
+                                          struct device_node *child_np)
+{
+       int ret;
+
+       rport->port_id = USB2PHY_PORT_HOST;
+       rport->port_cfg = &rphy->phy_cfg->port_cfgs[USB2PHY_PORT_HOST];
+       rport->suspended = true;
+
+       mutex_init(&rport->mutex);
+       INIT_DELAYED_WORK(&rport->sm_work, rockchip_usb2phy_sm_work);
+
+       rport->ls_irq = of_irq_get_byname(child_np, "linestate");
+       if (rport->ls_irq < 0) {
+               dev_err(rphy->dev, "no linestate irq provided\n");
+               return rport->ls_irq;
+       }
+
+       ret = devm_request_threaded_irq(rphy->dev, rport->ls_irq, NULL,
+                                       rockchip_usb2phy_linestate_irq,
+                                       IRQF_ONESHOT,
+                                       "rockchip_usb2phy", rport);
+       if (ret) {
+               dev_err(rphy->dev, "failed to request irq handle\n");
+               return ret;
+       }
+
+       return 0;
+}
+
+static int rockchip_usb2phy_probe(struct platform_device *pdev)
+{
+       struct device *dev = &pdev->dev;
+       struct device_node *np = dev->of_node;
+       struct device_node *child_np;
+       struct phy_provider *provider;
+       struct rockchip_usb2phy *rphy;
+       const struct rockchip_usb2phy_cfg *phy_cfgs;
+       const struct of_device_id *match;
+       unsigned int reg;
+       int index, ret;
+
+       rphy = devm_kzalloc(dev, sizeof(*rphy), GFP_KERNEL);
+       if (!rphy)
+               return -ENOMEM;
+
+       match = of_match_device(dev->driver->of_match_table, dev);
+       if (!match || !match->data) {
+               dev_err(dev, "phy configs are not assigned!\n");
+               return -EINVAL;
+       }
+
+       if (!dev->parent || !dev->parent->of_node)
+               return -EINVAL;
+
+       rphy->grf = syscon_node_to_regmap(dev->parent->of_node);
+       if (IS_ERR(rphy->grf))
+               return PTR_ERR(rphy->grf);
+
+       if (of_property_read_u32(np, "reg", &reg)) {
+               dev_err(dev, "the reg property is not assigned in %s node\n",
+                       np->name);
+               return -EINVAL;
+       }
+
+       rphy->dev = dev;
+       phy_cfgs = match->data;
+       platform_set_drvdata(pdev, rphy);
+
+       /* find out a proper config which can be matched with dt. */
+       index = 0;
+       while (phy_cfgs[index].reg) {
+               if (phy_cfgs[index].reg == reg) {
+                       rphy->phy_cfg = &phy_cfgs[index];
+                       break;
+               }
+
+               ++index;
+       }
+
+       if (!rphy->phy_cfg) {
+               dev_err(dev, "no phy-config can be matched with %s node\n",
+                       np->name);
+               return -EINVAL;
+       }
+
+       rphy->clk = of_clk_get_by_name(np, "phyclk");
+       if (!IS_ERR(rphy->clk)) {
+               clk_prepare_enable(rphy->clk);
+       } else {
+               dev_info(&pdev->dev, "no phyclk specified\n");
+               rphy->clk = NULL;
+       }
+
+       ret = rockchip_usb2phy_clk480m_register(rphy);
+       if (ret) {
+               dev_err(dev, "failed to register 480m output clock\n");
+               goto disable_clks;
+       }
+
+       index = 0;
+       for_each_available_child_of_node(np, child_np) {
+               struct rockchip_usb2phy_port *rport = &rphy->ports[index];
+               struct phy *phy;
+
+               /*
+                * This driver aim to support both otg-port and host-port,
+                * but unfortunately, the otg part is not ready in current,
+                * so this comments and below codes are interim, which should
+                * be changed after otg-port is supplied soon.
+                */
+               if (of_node_cmp(child_np->name, "host-port"))
+                       goto next_child;
+
+               phy = devm_phy_create(dev, child_np, &rockchip_usb2phy_ops);
+               if (IS_ERR(phy)) {
+                       dev_err(dev, "failed to create phy\n");
+                       ret = PTR_ERR(phy);
+                       goto put_child;
+               }
+
+               rport->phy = phy;
+               phy_set_drvdata(rport->phy, rport);
+
+               ret = rockchip_usb2phy_host_port_init(rphy, rport, child_np);
+               if (ret)
+                       goto put_child;
+
+next_child:
+               /* to prevent out of boundary */
+               if (++index >= rphy->phy_cfg->num_ports)
+                       break;
+       }
+
+       provider = devm_of_phy_provider_register(dev, of_phy_simple_xlate);
+       return PTR_ERR_OR_ZERO(provider);
+
+put_child:
+       of_node_put(child_np);
+disable_clks:
+       if (rphy->clk) {
+               clk_disable_unprepare(rphy->clk);
+               clk_put(rphy->clk);
+       }
+       return ret;
+}
+
+static const struct rockchip_usb2phy_cfg rk3366_phy_cfgs[] = {
+       {
+               .reg = 0x700,
+               .num_ports      = 2,
+               .clkout_ctl     = { 0x0724, 15, 15, 1, 0 },
+               .port_cfgs      = {
+                       [USB2PHY_PORT_HOST] = {
+                               .phy_sus        = { 0x0728, 15, 0, 0, 0x1d1 },
+                               .ls_det_en      = { 0x0680, 4, 4, 0, 1 },
+                               .ls_det_st      = { 0x0690, 4, 4, 0, 1 },
+                               .ls_det_clr     = { 0x06a0, 4, 4, 0, 1 },
+                               .utmi_ls        = { 0x049c, 14, 13, 0, 1 },
+                               .utmi_hstdet    = { 0x049c, 12, 12, 0, 1 }
+                       }
+               },
+       },
+       { /* sentinel */ }
+};
+
+static const struct rockchip_usb2phy_cfg rk3399_phy_cfgs[] = {
+       {
+               .reg = 0xe450,
+               .num_ports      = 2,
+               .clkout_ctl     = { 0xe450, 4, 4, 1, 0 },
+               .port_cfgs      = {
+                       [USB2PHY_PORT_HOST] = {
+                               .phy_sus        = { 0xe458, 1, 0, 0x2, 0x1 },
+                               .ls_det_en      = { 0xe3c0, 6, 6, 0, 1 },
+                               .ls_det_st      = { 0xe3e0, 6, 6, 0, 1 },
+                               .ls_det_clr     = { 0xe3d0, 6, 6, 0, 1 },
+                               .utmi_ls        = { 0xe2ac, 22, 21, 0, 1 },
+                               .utmi_hstdet    = { 0xe2ac, 23, 23, 0, 1 }
+                       }
+               },
+       },
+       {
+               .reg = 0xe460,
+               .num_ports      = 2,
+               .clkout_ctl     = { 0xe460, 4, 4, 1, 0 },
+               .port_cfgs      = {
+                       [USB2PHY_PORT_HOST] = {
+                               .phy_sus        = { 0xe468, 1, 0, 0x2, 0x1 },
+                               .ls_det_en      = { 0xe3c0, 11, 11, 0, 1 },
+                               .ls_det_st      = { 0xe3e0, 11, 11, 0, 1 },
+                               .ls_det_clr     = { 0xe3d0, 11, 11, 0, 1 },
+                               .utmi_ls        = { 0xe2ac, 26, 25, 0, 1 },
+                               .utmi_hstdet    = { 0xe2ac, 27, 27, 0, 1 }
+                       }
+               },
+       },
+       { /* sentinel */ }
+};
+
+static const struct of_device_id rockchip_usb2phy_dt_match[] = {
+       { .compatible = "rockchip,rk3366-usb2phy", .data = &rk3366_phy_cfgs },
+       { .compatible = "rockchip,rk3399-usb2phy", .data = &rk3399_phy_cfgs },
+       {}
+};
+MODULE_DEVICE_TABLE(of, rockchip_usb2phy_dt_match);
+
+static struct platform_driver rockchip_usb2phy_driver = {
+       .probe          = rockchip_usb2phy_probe,
+       .driver         = {
+               .name   = "rockchip-usb2phy",
+               .of_match_table = rockchip_usb2phy_dt_match,
+       },
+};
+module_platform_driver(rockchip_usb2phy_driver);
+
+MODULE_AUTHOR("Frank Wang <frank.wang@rock-chips.com>");
+MODULE_DESCRIPTION("Rockchip USB2.0 PHY driver");
+MODULE_LICENSE("GPL v2");
diff --git a/drivers/phy/phy-rockchip-pcie.c b/drivers/phy/phy-rockchip-pcie.c
new file mode 100644 (file)
index 0000000..a2b4c6b
--- /dev/null
@@ -0,0 +1,357 @@
+/*
+ * Rockchip PCIe PHY driver
+ *
+ * Copyright (C) 2016 Shawn Lin <shawn.lin@rock-chips.com>
+ * Copyright (C) 2016 ROCKCHIP, Inc.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ */
+
+#include <linux/clk.h>
+#include <linux/delay.h>
+#include <linux/io.h>
+#include <linux/mfd/syscon.h>
+#include <linux/module.h>
+#include <linux/of.h>
+#include <linux/of_address.h>
+#include <linux/of_platform.h>
+#include <linux/phy/phy.h>
+#include <linux/platform_device.h>
+#include <linux/regmap.h>
+#include <linux/reset.h>
+
+/*
+ * The higher 16-bit of this register is used for write protection
+ * only if BIT(x + 16) set to 1 the BIT(x) can be written.
+ */
+#define HIWORD_UPDATE(val, mask, shift) \
+               ((val) << (shift) | (mask) << ((shift) + 16))
+
+#define PHY_MAX_LANE_NUM      4
+#define PHY_CFG_DATA_SHIFT    7
+#define PHY_CFG_ADDR_SHIFT    1
+#define PHY_CFG_DATA_MASK     0xf
+#define PHY_CFG_ADDR_MASK     0x3f
+#define PHY_CFG_RD_MASK       0x3ff
+#define PHY_CFG_WR_ENABLE     1
+#define PHY_CFG_WR_DISABLE    1
+#define PHY_CFG_WR_SHIFT      0
+#define PHY_CFG_WR_MASK       1
+#define PHY_CFG_PLL_LOCK      0x10
+#define PHY_CFG_CLK_TEST      0x10
+#define PHY_CFG_CLK_SCC       0x12
+#define PHY_CFG_SEPE_RATE     BIT(3)
+#define PHY_CFG_PLL_100M      BIT(3)
+#define PHY_PLL_LOCKED        BIT(9)
+#define PHY_PLL_OUTPUT        BIT(10)
+#define PHY_LANE_A_STATUS     0x30
+#define PHY_LANE_B_STATUS     0x31
+#define PHY_LANE_C_STATUS     0x32
+#define PHY_LANE_D_STATUS     0x33
+#define PHY_LANE_RX_DET_SHIFT 11
+#define PHY_LANE_RX_DET_TH    0x1
+#define PHY_LANE_IDLE_OFF     0x1
+#define PHY_LANE_IDLE_MASK    0x1
+#define PHY_LANE_IDLE_A_SHIFT 3
+#define PHY_LANE_IDLE_B_SHIFT 4
+#define PHY_LANE_IDLE_C_SHIFT 5
+#define PHY_LANE_IDLE_D_SHIFT 6
+
+struct rockchip_pcie_data {
+       unsigned int pcie_conf;
+       unsigned int pcie_status;
+       unsigned int pcie_laneoff;
+};
+
+struct rockchip_pcie_phy {
+       struct rockchip_pcie_data *phy_data;
+       struct regmap *reg_base;
+       struct reset_control *phy_rst;
+       struct clk *clk_pciephy_ref;
+};
+
+static inline void phy_wr_cfg(struct rockchip_pcie_phy *rk_phy,
+                             u32 addr, u32 data)
+{
+       regmap_write(rk_phy->reg_base, rk_phy->phy_data->pcie_conf,
+                    HIWORD_UPDATE(data,
+                                  PHY_CFG_DATA_MASK,
+                                  PHY_CFG_DATA_SHIFT) |
+                    HIWORD_UPDATE(addr,
+                                  PHY_CFG_ADDR_MASK,
+                                  PHY_CFG_ADDR_SHIFT));
+       udelay(1);
+       regmap_write(rk_phy->reg_base, rk_phy->phy_data->pcie_conf,
+                    HIWORD_UPDATE(PHY_CFG_WR_ENABLE,
+                                  PHY_CFG_WR_MASK,
+                                  PHY_CFG_WR_SHIFT));
+       udelay(1);
+       regmap_write(rk_phy->reg_base, rk_phy->phy_data->pcie_conf,
+                    HIWORD_UPDATE(PHY_CFG_WR_DISABLE,
+                                  PHY_CFG_WR_MASK,
+                                  PHY_CFG_WR_SHIFT));
+}
+
+static inline u32 phy_rd_cfg(struct rockchip_pcie_phy *rk_phy,
+                            u32 addr)
+{
+       u32 val;
+
+       regmap_write(rk_phy->reg_base, rk_phy->phy_data->pcie_conf,
+                    HIWORD_UPDATE(addr,
+                                  PHY_CFG_RD_MASK,
+                                  PHY_CFG_ADDR_SHIFT));
+       regmap_read(rk_phy->reg_base,
+                   rk_phy->phy_data->pcie_status,
+                   &val);
+       return val;
+}
+
+static int rockchip_pcie_phy_power_off(struct phy *phy)
+{
+       struct rockchip_pcie_phy *rk_phy = phy_get_drvdata(phy);
+       int err = 0;
+
+       err = reset_control_assert(rk_phy->phy_rst);
+       if (err) {
+               dev_err(&phy->dev, "assert phy_rst err %d\n", err);
+               return err;
+       }
+
+       return 0;
+}
+
+static int rockchip_pcie_phy_power_on(struct phy *phy)
+{
+       struct rockchip_pcie_phy *rk_phy = phy_get_drvdata(phy);
+       int err = 0;
+       u32 status;
+       unsigned long timeout;
+
+       err = reset_control_deassert(rk_phy->phy_rst);
+       if (err) {
+               dev_err(&phy->dev, "deassert phy_rst err %d\n", err);
+               return err;
+       }
+
+       regmap_write(rk_phy->reg_base, rk_phy->phy_data->pcie_conf,
+                    HIWORD_UPDATE(PHY_CFG_PLL_LOCK,
+                                  PHY_CFG_ADDR_MASK,
+                                  PHY_CFG_ADDR_SHIFT));
+
+       /*
+        * No documented timeout value for phy operation below,
+        * so we make it large enough here. And we use loop-break
+        * method which should not be harmful.
+        */
+       timeout = jiffies + msecs_to_jiffies(1000);
+
+       err = -EINVAL;
+       while (time_before(jiffies, timeout)) {
+               regmap_read(rk_phy->reg_base,
+                           rk_phy->phy_data->pcie_status,
+                           &status);
+               if (status & PHY_PLL_LOCKED) {
+                       dev_dbg(&phy->dev, "pll locked!\n");
+                       err = 0;
+                       break;
+               }
+               msleep(20);
+       }
+
+       if (err) {
+               dev_err(&phy->dev, "pll lock timeout!\n");
+               goto err_pll_lock;
+       }
+
+       phy_wr_cfg(rk_phy, PHY_CFG_CLK_TEST, PHY_CFG_SEPE_RATE);
+       phy_wr_cfg(rk_phy, PHY_CFG_CLK_SCC, PHY_CFG_PLL_100M);
+
+       err = -ETIMEDOUT;
+       while (time_before(jiffies, timeout)) {
+               regmap_read(rk_phy->reg_base,
+                           rk_phy->phy_data->pcie_status,
+                           &status);
+               if (!(status & PHY_PLL_OUTPUT)) {
+                       dev_dbg(&phy->dev, "pll output enable done!\n");
+                       err = 0;
+                       break;
+               }
+               msleep(20);
+       }
+
+       if (err) {
+               dev_err(&phy->dev, "pll output enable timeout!\n");
+               goto err_pll_lock;
+       }
+
+       regmap_write(rk_phy->reg_base, rk_phy->phy_data->pcie_conf,
+                    HIWORD_UPDATE(PHY_CFG_PLL_LOCK,
+                                  PHY_CFG_ADDR_MASK,
+                                  PHY_CFG_ADDR_SHIFT));
+       err = -EINVAL;
+       while (time_before(jiffies, timeout)) {
+               regmap_read(rk_phy->reg_base,
+                           rk_phy->phy_data->pcie_status,
+                           &status);
+               if (status & PHY_PLL_LOCKED) {
+                       dev_dbg(&phy->dev, "pll relocked!\n");
+                       err = 0;
+                       break;
+               }
+               msleep(20);
+       }
+
+       if (err) {
+               dev_err(&phy->dev, "pll relock timeout!\n");
+               goto err_pll_lock;
+       }
+
+       return 0;
+
+err_pll_lock:
+       reset_control_assert(rk_phy->phy_rst);
+       return err;
+}
+
+static int rockchip_pcie_phy_init(struct phy *phy)
+{
+       struct rockchip_pcie_phy *rk_phy = phy_get_drvdata(phy);
+       int err = 0;
+
+       err = clk_prepare_enable(rk_phy->clk_pciephy_ref);
+       if (err) {
+               dev_err(&phy->dev, "Fail to enable pcie ref clock.\n");
+               goto err_refclk;
+       }
+
+       err = reset_control_assert(rk_phy->phy_rst);
+       if (err) {
+               dev_err(&phy->dev, "assert phy_rst err %d\n", err);
+               goto err_reset;
+       }
+
+       return err;
+
+err_reset:
+       clk_disable_unprepare(rk_phy->clk_pciephy_ref);
+err_refclk:
+       return err;
+}
+
+static int rockchip_pcie_phy_exit(struct phy *phy)
+{
+       struct rockchip_pcie_phy *rk_phy = phy_get_drvdata(phy);
+       int err = 0;
+
+       clk_disable_unprepare(rk_phy->clk_pciephy_ref);
+
+       err = reset_control_deassert(rk_phy->phy_rst);
+       if (err) {
+               dev_err(&phy->dev, "deassert phy_rst err %d\n", err);
+               goto err_reset;
+       }
+
+       return err;
+
+err_reset:
+       clk_prepare_enable(rk_phy->clk_pciephy_ref);
+       return err;
+}
+
+static const struct phy_ops ops = {
+       .init           = rockchip_pcie_phy_init,
+       .exit           = rockchip_pcie_phy_exit,
+       .power_on       = rockchip_pcie_phy_power_on,
+       .power_off      = rockchip_pcie_phy_power_off,
+       .owner          = THIS_MODULE,
+};
+
+static const struct rockchip_pcie_data rk3399_pcie_data = {
+       .pcie_conf = 0xe220,
+       .pcie_status = 0xe2a4,
+       .pcie_laneoff = 0xe214,
+};
+
+static const struct of_device_id rockchip_pcie_phy_dt_ids[] = {
+       {
+               .compatible = "rockchip,rk3399-pcie-phy",
+               .data = &rk3399_pcie_data,
+       },
+       {}
+};
+
+MODULE_DEVICE_TABLE(of, rockchip_pcie_phy_dt_ids);
+
+static int rockchip_pcie_phy_probe(struct platform_device *pdev)
+{
+       struct device *dev = &pdev->dev;
+       struct rockchip_pcie_phy *rk_phy;
+       struct phy *generic_phy;
+       struct phy_provider *phy_provider;
+       struct regmap *grf;
+       const struct of_device_id *of_id;
+
+       grf = syscon_node_to_regmap(dev->parent->of_node);
+       if (IS_ERR(grf)) {
+               dev_err(dev, "Cannot find GRF syscon\n");
+               return PTR_ERR(grf);
+       }
+
+       rk_phy = devm_kzalloc(dev, sizeof(*rk_phy), GFP_KERNEL);
+       if (!rk_phy)
+               return -ENOMEM;
+
+       of_id = of_match_device(rockchip_pcie_phy_dt_ids, &pdev->dev);
+       if (!of_id)
+               return -EINVAL;
+
+       rk_phy->phy_data = (struct rockchip_pcie_data *)of_id->data;
+       rk_phy->reg_base = grf;
+
+       rk_phy->phy_rst = devm_reset_control_get(dev, "phy");
+       if (IS_ERR(rk_phy->phy_rst)) {
+               if (PTR_ERR(rk_phy->phy_rst) != -EPROBE_DEFER)
+                       dev_err(dev,
+                               "missing phy property for reset controller\n");
+               return PTR_ERR(rk_phy->phy_rst);
+       }
+
+       rk_phy->clk_pciephy_ref = devm_clk_get(dev, "refclk");
+       if (IS_ERR(rk_phy->clk_pciephy_ref)) {
+               dev_err(dev, "refclk not found.\n");
+               return PTR_ERR(rk_phy->clk_pciephy_ref);
+       }
+
+       generic_phy = devm_phy_create(dev, dev->of_node, &ops);
+       if (IS_ERR(generic_phy)) {
+               dev_err(dev, "failed to create PHY\n");
+               return PTR_ERR(generic_phy);
+       }
+
+       phy_set_drvdata(generic_phy, rk_phy);
+       phy_provider = devm_of_phy_provider_register(dev, of_phy_simple_xlate);
+
+       return PTR_ERR_OR_ZERO(phy_provider);
+}
+
+static struct platform_driver rockchip_pcie_driver = {
+       .probe          = rockchip_pcie_phy_probe,
+       .driver         = {
+               .name   = "rockchip-pcie-phy",
+               .of_match_table = rockchip_pcie_phy_dt_ids,
+       },
+};
+
+module_platform_driver(rockchip_pcie_driver);
+
+MODULE_AUTHOR("Shawn Lin <shawn.lin@rock-chips.com>");
+MODULE_DESCRIPTION("Rockchip PCIe PHY driver");
+MODULE_LICENSE("GPL v2");
diff --git a/drivers/phy/phy-rockchip-typec.c b/drivers/phy/phy-rockchip-typec.c
new file mode 100644 (file)
index 0000000..7cfb0f8
--- /dev/null
@@ -0,0 +1,1023 @@
+/*
+ * Copyright (C) Fuzhou Rockchip Electronics Co.Ltd
+ * Author: Chris Zhong <zyw@rock-chips.com>
+ *         Kever Yang <kever.yang@rock-chips.com>
+ *
+ * This software is licensed under the terms of the GNU General Public
+ * License version 2, as published by the Free Software Foundation, and
+ * may be copied, distributed, and modified under those terms.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * The ROCKCHIP Type-C PHY has two PLL clocks. The first PLL clock
+ * is used for USB3, the second PLL clock is used for DP. This Type-C PHY has
+ * 3 working modes: USB3 only mode, DP only mode, and USB3+DP mode.
+ * At USB3 only mode, both PLL clocks need to be initialized, this allows the
+ * PHY to switch mode between USB3 and USB3+DP, without disconnecting the USB
+ * device.
+ * In The DP only mode, only the DP PLL needs to be powered on, and the 4 lanes
+ * are all used for DP.
+ *
+ * This driver gets extcon cable state and property, then decides which mode to
+ * select:
+ *
+ * 1. USB3 only mode:
+ *    EXTCON_USB or EXTCON_USB_HOST state is true, and
+ *    EXTCON_PROP_USB_SS property is true.
+ *    EXTCON_DISP_DP state is false.
+ *
+ * 2. DP only mode:
+ *    EXTCON_DISP_DP state is true, and
+ *    EXTCON_PROP_USB_SS property is false.
+ *    If EXTCON_USB_HOST state is true, it is DP + USB2 mode, since the USB2 phy
+ *    is a separate phy, so this case is still DP only mode.
+ *
+ * 3. USB3+DP mode:
+ *    EXTCON_USB_HOST and EXTCON_DISP_DP are both true, and
+ *    EXTCON_PROP_USB_SS property is true.
+ *
+ * This Type-C PHY driver supports normal and flip orientation. The orientation
+ * is reported by the EXTCON_PROP_USB_TYPEC_POLARITY property: true is flip
+ * orientation, false is normal orientation.
+ *
+ */
+
+#include <linux/clk.h>
+#include <linux/clk-provider.h>
+#include <linux/delay.h>
+#include <linux/extcon.h>
+#include <linux/io.h>
+#include <linux/iopoll.h>
+#include <linux/kernel.h>
+#include <linux/module.h>
+#include <linux/mutex.h>
+#include <linux/of.h>
+#include <linux/of_address.h>
+#include <linux/of_platform.h>
+#include <linux/platform_device.h>
+#include <linux/regmap.h>
+#include <linux/reset.h>
+
+#include <linux/mfd/syscon.h>
+#include <linux/phy/phy.h>
+
+#define CMN_SSM_BANDGAP                        (0x21 << 2)
+#define CMN_SSM_BIAS                   (0x22 << 2)
+#define CMN_PLLSM0_PLLEN               (0x29 << 2)
+#define CMN_PLLSM0_PLLPRE              (0x2a << 2)
+#define CMN_PLLSM0_PLLVREF             (0x2b << 2)
+#define CMN_PLLSM0_PLLLOCK             (0x2c << 2)
+#define CMN_PLLSM1_PLLEN               (0x31 << 2)
+#define CMN_PLLSM1_PLLPRE              (0x32 << 2)
+#define CMN_PLLSM1_PLLVREF             (0x33 << 2)
+#define CMN_PLLSM1_PLLLOCK             (0x34 << 2)
+#define CMN_PLLSM1_USER_DEF_CTRL       (0x37 << 2)
+#define CMN_ICAL_OVRD                  (0xc1 << 2)
+#define CMN_PLL0_VCOCAL_OVRD           (0x83 << 2)
+#define CMN_PLL0_VCOCAL_INIT           (0x84 << 2)
+#define CMN_PLL0_VCOCAL_ITER           (0x85 << 2)
+#define CMN_PLL0_LOCK_REFCNT_START     (0x90 << 2)
+#define CMN_PLL0_LOCK_PLLCNT_START     (0x92 << 2)
+#define CMN_PLL0_LOCK_PLLCNT_THR       (0x93 << 2)
+#define CMN_PLL0_INTDIV                        (0x94 << 2)
+#define CMN_PLL0_FRACDIV               (0x95 << 2)
+#define CMN_PLL0_HIGH_THR              (0x96 << 2)
+#define CMN_PLL0_DSM_DIAG              (0x97 << 2)
+#define CMN_PLL0_SS_CTRL1              (0x98 << 2)
+#define CMN_PLL0_SS_CTRL2              (0x99 << 2)
+#define CMN_PLL1_VCOCAL_START          (0xa1 << 2)
+#define CMN_PLL1_VCOCAL_OVRD           (0xa3 << 2)
+#define CMN_PLL1_VCOCAL_INIT           (0xa4 << 2)
+#define CMN_PLL1_VCOCAL_ITER           (0xa5 << 2)
+#define CMN_PLL1_LOCK_REFCNT_START     (0xb0 << 2)
+#define CMN_PLL1_LOCK_PLLCNT_START     (0xb2 << 2)
+#define CMN_PLL1_LOCK_PLLCNT_THR       (0xb3 << 2)
+#define CMN_PLL1_INTDIV                        (0xb4 << 2)
+#define CMN_PLL1_FRACDIV               (0xb5 << 2)
+#define CMN_PLL1_HIGH_THR              (0xb6 << 2)
+#define CMN_PLL1_DSM_DIAG              (0xb7 << 2)
+#define CMN_PLL1_SS_CTRL1              (0xb8 << 2)
+#define CMN_PLL1_SS_CTRL2              (0xb9 << 2)
+#define CMN_RXCAL_OVRD                 (0xd1 << 2)
+#define CMN_TXPUCAL_CTRL               (0xe0 << 2)
+#define CMN_TXPUCAL_OVRD               (0xe1 << 2)
+#define CMN_TXPDCAL_OVRD               (0xf1 << 2)
+#define CMN_DIAG_PLL0_FBH_OVRD         (0x1c0 << 2)
+#define CMN_DIAG_PLL0_FBL_OVRD         (0x1c1 << 2)
+#define CMN_DIAG_PLL0_OVRD             (0x1c2 << 2)
+#define CMN_DIAG_PLL0_V2I_TUNE         (0x1c5 << 2)
+#define CMN_DIAG_PLL0_CP_TUNE          (0x1c6 << 2)
+#define CMN_DIAG_PLL0_LF_PROG          (0x1c7 << 2)
+#define CMN_DIAG_PLL1_FBH_OVRD         (0x1d0 << 2)
+#define CMN_DIAG_PLL1_FBL_OVRD         (0x1d1 << 2)
+#define CMN_DIAG_PLL1_OVRD             (0x1d2 << 2)
+#define CMN_DIAG_PLL1_V2I_TUNE         (0x1d5 << 2)
+#define CMN_DIAG_PLL1_CP_TUNE          (0x1d6 << 2)
+#define CMN_DIAG_PLL1_LF_PROG          (0x1d7 << 2)
+#define CMN_DIAG_PLL1_PTATIS_TUNE1     (0x1d8 << 2)
+#define CMN_DIAG_PLL1_PTATIS_TUNE2     (0x1d9 << 2)
+#define CMN_DIAG_PLL1_INCLK_CTRL       (0x1da << 2)
+#define CMN_DIAG_HSCLK_SEL             (0x1e0 << 2)
+
+#define XCVR_PSM_RCTRL(n)              ((0x4001 | ((n) << 9)) << 2)
+#define XCVR_PSM_CAL_TMR(n)            ((0x4002 | ((n) << 9)) << 2)
+#define XCVR_PSM_A0IN_TMR(n)           ((0x4003 | ((n) << 9)) << 2)
+#define TX_TXCC_CAL_SCLR_MULT(n)       ((0x4047 | ((n) << 9)) << 2)
+#define TX_TXCC_CPOST_MULT_00(n)       ((0x404c | ((n) << 9)) << 2)
+#define TX_TXCC_CPOST_MULT_01(n)       ((0x404d | ((n) << 9)) << 2)
+#define TX_TXCC_CPOST_MULT_10(n)       ((0x404e | ((n) << 9)) << 2)
+#define TX_TXCC_CPOST_MULT_11(n)       ((0x404f | ((n) << 9)) << 2)
+#define TX_TXCC_MGNFS_MULT_000(n)      ((0x4050 | ((n) << 9)) << 2)
+#define TX_TXCC_MGNFS_MULT_001(n)      ((0x4051 | ((n) << 9)) << 2)
+#define TX_TXCC_MGNFS_MULT_010(n)      ((0x4052 | ((n) << 9)) << 2)
+#define TX_TXCC_MGNFS_MULT_011(n)      ((0x4053 | ((n) << 9)) << 2)
+#define TX_TXCC_MGNFS_MULT_100(n)      ((0x4054 | ((n) << 9)) << 2)
+#define TX_TXCC_MGNFS_MULT_101(n)      ((0x4055 | ((n) << 9)) << 2)
+#define TX_TXCC_MGNFS_MULT_110(n)      ((0x4056 | ((n) << 9)) << 2)
+#define TX_TXCC_MGNFS_MULT_111(n)      ((0x4057 | ((n) << 9)) << 2)
+#define XCVR_DIAG_PLLDRC_CTRL(n)       ((0x40e0 | ((n) << 9)) << 2)
+#define XCVR_DIAG_BIDI_CTRL(n)         ((0x40e8 | ((n) << 9)) << 2)
+#define XCVR_DIAG_LANE_FCM_EN_MGN(n)   ((0x40f2 | ((n) << 9)) << 2)
+#define TX_PSC_A0(n)                   ((0x4100 | ((n) << 9)) << 2)
+#define TX_PSC_A1(n)                   ((0x4101 | ((n) << 9)) << 2)
+#define TX_PSC_A2(n)                   ((0x4102 | ((n) << 9)) << 2)
+#define TX_PSC_A3(n)                   ((0x4103 | ((n) << 9)) << 2)
+#define TX_RCVDET_CTRL(n)              ((0x4120 | ((n) << 9)) << 2)
+#define TX_RCVDET_EN_TMR(n)            ((0x4122 | ((n) << 9)) << 2)
+#define TX_RCVDET_ST_TMR(n)            ((0x4123 | ((n) << 9)) << 2)
+#define TX_DIAG_TX_DRV(n)              ((0x41e1 | ((n) << 9)) << 2)
+#define TX_DIAG_BGREF_PREDRV_DELAY     (0x41e7 << 2)
+#define TX_ANA_CTRL_REG_1              (0x5020 << 2)
+#define TX_ANA_CTRL_REG_2              (0x5021 << 2)
+#define TXDA_COEFF_CALC_CTRL           (0x5022 << 2)
+#define TX_DIG_CTRL_REG_2              (0x5024 << 2)
+#define TXDA_CYA_AUXDA_CYA             (0x5025 << 2)
+#define TX_ANA_CTRL_REG_3              (0x5026 << 2)
+#define TX_ANA_CTRL_REG_4              (0x5027 << 2)
+#define TX_ANA_CTRL_REG_5              (0x5029 << 2)
+
+#define RX_PSC_A0(n)                   ((0x8000 | ((n) << 9)) << 2)
+#define RX_PSC_A1(n)                   ((0x8001 | ((n) << 9)) << 2)
+#define RX_PSC_A2(n)                   ((0x8002 | ((n) << 9)) << 2)
+#define RX_PSC_A3(n)                   ((0x8003 | ((n) << 9)) << 2)
+#define RX_PSC_CAL(n)                  ((0x8006 | ((n) << 9)) << 2)
+#define RX_PSC_RDY(n)                  ((0x8007 | ((n) << 9)) << 2)
+#define RX_IQPI_ILL_CAL_OVRD           (0x8023 << 2)
+#define RX_EPI_ILL_CAL_OVRD            (0x8033 << 2)
+#define RX_SDCAL0_OVRD                 (0x8041 << 2)
+#define RX_SDCAL1_OVRD                 (0x8049 << 2)
+#define RX_SLC_INIT                    (0x806d << 2)
+#define RX_SLC_RUN                     (0x806e << 2)
+#define RX_CDRLF_CNFG2                 (0x8081 << 2)
+#define RX_SIGDET_HL_FILT_TMR(n)       ((0x8090 | ((n) << 9)) << 2)
+#define RX_SLC_IOP0_OVRD               (0x8101 << 2)
+#define RX_SLC_IOP1_OVRD               (0x8105 << 2)
+#define RX_SLC_QOP0_OVRD               (0x8109 << 2)
+#define RX_SLC_QOP1_OVRD               (0x810d << 2)
+#define RX_SLC_EOP0_OVRD               (0x8111 << 2)
+#define RX_SLC_EOP1_OVRD               (0x8115 << 2)
+#define RX_SLC_ION0_OVRD               (0x8119 << 2)
+#define RX_SLC_ION1_OVRD               (0x811d << 2)
+#define RX_SLC_QON0_OVRD               (0x8121 << 2)
+#define RX_SLC_QON1_OVRD               (0x8125 << 2)
+#define RX_SLC_EON0_OVRD               (0x8129 << 2)
+#define RX_SLC_EON1_OVRD               (0x812d << 2)
+#define RX_SLC_IEP0_OVRD               (0x8131 << 2)
+#define RX_SLC_IEP1_OVRD               (0x8135 << 2)
+#define RX_SLC_QEP0_OVRD               (0x8139 << 2)
+#define RX_SLC_QEP1_OVRD               (0x813d << 2)
+#define RX_SLC_EEP0_OVRD               (0x8141 << 2)
+#define RX_SLC_EEP1_OVRD               (0x8145 << 2)
+#define RX_SLC_IEN0_OVRD               (0x8149 << 2)
+#define RX_SLC_IEN1_OVRD               (0x814d << 2)
+#define RX_SLC_QEN0_OVRD               (0x8151 << 2)
+#define RX_SLC_QEN1_OVRD               (0x8155 << 2)
+#define RX_SLC_EEN0_OVRD               (0x8159 << 2)
+#define RX_SLC_EEN1_OVRD               (0x815d << 2)
+#define RX_REE_CTRL_DATA_MASK(n)       ((0x81bb | ((n) << 9)) << 2)
+#define RX_DIAG_SIGDET_TUNE(n)         ((0x81dc | ((n) << 9)) << 2)
+#define RX_DIAG_SC2C_DELAY             (0x81e1 << 2)
+
+#define PMA_LANE_CFG                   (0xc000 << 2)
+#define PIPE_CMN_CTRL1                 (0xc001 << 2)
+#define PIPE_CMN_CTRL2                 (0xc002 << 2)
+#define PIPE_COM_LOCK_CFG1             (0xc003 << 2)
+#define PIPE_COM_LOCK_CFG2             (0xc004 << 2)
+#define PIPE_RCV_DET_INH               (0xc005 << 2)
+#define DP_MODE_CTL                    (0xc008 << 2)
+#define DP_CLK_CTL                     (0xc009 << 2)
+#define STS                            (0xc00F << 2)
+#define PHY_ISO_CMN_CTRL               (0xc010 << 2)
+#define PHY_DP_TX_CTL                  (0xc408 << 2)
+#define PMA_CMN_CTRL1                  (0xc800 << 2)
+#define PHY_PMA_ISO_CMN_CTRL           (0xc810 << 2)
+#define PHY_ISOLATION_CTRL             (0xc81f << 2)
+#define PHY_PMA_ISO_XCVR_CTRL(n)       ((0xcc11 | ((n) << 6)) << 2)
+#define PHY_PMA_ISO_LINK_MODE(n)       ((0xcc12 | ((n) << 6)) << 2)
+#define PHY_PMA_ISO_PWRST_CTRL(n)      ((0xcc13 | ((n) << 6)) << 2)
+#define PHY_PMA_ISO_TX_DATA_LO(n)      ((0xcc14 | ((n) << 6)) << 2)
+#define PHY_PMA_ISO_TX_DATA_HI(n)      ((0xcc15 | ((n) << 6)) << 2)
+#define PHY_PMA_ISO_RX_DATA_LO(n)      ((0xcc16 | ((n) << 6)) << 2)
+#define PHY_PMA_ISO_RX_DATA_HI(n)      ((0xcc17 | ((n) << 6)) << 2)
+#define TX_BIST_CTRL(n)                        ((0x4140 | ((n) << 9)) << 2)
+#define TX_BIST_UDDWR(n)               ((0x4141 | ((n) << 9)) << 2)
+
+/*
+ * Selects which PLL clock will be driven on the analog high speed
+ * clock 0: PLL 0 div 1
+ * clock 1: PLL 1 div 2
+ */
+#define CLK_PLL_CONFIG                 0X30
+#define CLK_PLL_MASK                   0x33
+
+#define CMN_READY                      BIT(0)
+
+#define DP_PLL_CLOCK_ENABLE            BIT(2)
+#define DP_PLL_ENABLE                  BIT(0)
+#define DP_PLL_DATA_RATE_RBR           ((2 << 12) | (4 << 8))
+#define DP_PLL_DATA_RATE_HBR           ((2 << 12) | (4 << 8))
+#define DP_PLL_DATA_RATE_HBR2          ((1 << 12) | (2 << 8))
+
+#define DP_MODE_A0                     BIT(4)
+#define DP_MODE_A2                     BIT(6)
+#define DP_MODE_ENTER_A0               0xc101
+#define DP_MODE_ENTER_A2               0xc104
+
+#define PHY_MODE_SET_TIMEOUT           100000
+
+#define PIN_ASSIGN_C_E                 0x51d9
+#define PIN_ASSIGN_D_F                 0x5100
+
+#define MODE_DISCONNECT                        0
+#define MODE_UFP_USB                   BIT(0)
+#define MODE_DFP_USB                   BIT(1)
+#define MODE_DFP_DP                    BIT(2)
+
+struct usb3phy_reg {
+       u32 offset;
+       u32 enable_bit;
+       u32 write_enable;
+};
+
+struct rockchip_usb3phy_port_cfg {
+       struct usb3phy_reg typec_conn_dir;
+       struct usb3phy_reg usb3tousb2_en;
+       struct usb3phy_reg external_psm;
+       struct usb3phy_reg pipe_status;
+};
+
+struct rockchip_typec_phy {
+       struct device *dev;
+       void __iomem *base;
+       struct extcon_dev *extcon;
+       struct regmap *grf_regs;
+       struct clk *clk_core;
+       struct clk *clk_ref;
+       struct reset_control *uphy_rst;
+       struct reset_control *pipe_rst;
+       struct reset_control *tcphy_rst;
+       struct rockchip_usb3phy_port_cfg port_cfgs;
+       /* mutex to protect access to individual PHYs */
+       struct mutex lock;
+
+       bool flip;
+       u8 mode;
+};
+
+struct phy_reg {
+       u16 value;
+       u32 addr;
+};
+
+struct phy_reg usb3_pll_cfg[] = {
+       { 0xf0,         CMN_PLL0_VCOCAL_INIT },
+       { 0x18,         CMN_PLL0_VCOCAL_ITER },
+       { 0xd0,         CMN_PLL0_INTDIV },
+       { 0x4a4a,       CMN_PLL0_FRACDIV },
+       { 0x34,         CMN_PLL0_HIGH_THR },
+       { 0x1ee,        CMN_PLL0_SS_CTRL1 },
+       { 0x7f03,       CMN_PLL0_SS_CTRL2 },
+       { 0x20,         CMN_PLL0_DSM_DIAG },
+       { 0,            CMN_DIAG_PLL0_OVRD },
+       { 0,            CMN_DIAG_PLL0_FBH_OVRD },
+       { 0,            CMN_DIAG_PLL0_FBL_OVRD },
+       { 0x7,          CMN_DIAG_PLL0_V2I_TUNE },
+       { 0x45,         CMN_DIAG_PLL0_CP_TUNE },
+       { 0x8,          CMN_DIAG_PLL0_LF_PROG },
+};
+
+struct phy_reg dp_pll_cfg[] = {
+       { 0xf0,         CMN_PLL1_VCOCAL_INIT },
+       { 0x18,         CMN_PLL1_VCOCAL_ITER },
+       { 0x30b9,       CMN_PLL1_VCOCAL_START },
+       { 0x21c,        CMN_PLL1_INTDIV },
+       { 0,            CMN_PLL1_FRACDIV },
+       { 0x5,          CMN_PLL1_HIGH_THR },
+       { 0x35,         CMN_PLL1_SS_CTRL1 },
+       { 0x7f1e,       CMN_PLL1_SS_CTRL2 },
+       { 0x20,         CMN_PLL1_DSM_DIAG },
+       { 0,            CMN_PLLSM1_USER_DEF_CTRL },
+       { 0,            CMN_DIAG_PLL1_OVRD },
+       { 0,            CMN_DIAG_PLL1_FBH_OVRD },
+       { 0,            CMN_DIAG_PLL1_FBL_OVRD },
+       { 0x6,          CMN_DIAG_PLL1_V2I_TUNE },
+       { 0x45,         CMN_DIAG_PLL1_CP_TUNE },
+       { 0x8,          CMN_DIAG_PLL1_LF_PROG },
+       { 0x100,        CMN_DIAG_PLL1_PTATIS_TUNE1 },
+       { 0x7,          CMN_DIAG_PLL1_PTATIS_TUNE2 },
+       { 0x4,          CMN_DIAG_PLL1_INCLK_CTRL },
+};
+
+static void tcphy_cfg_24m(struct rockchip_typec_phy *tcphy)
+{
+       u32 i, rdata;
+
+       /*
+        * cmn_ref_clk_sel = 3, select the 24Mhz for clk parent
+        * cmn_psm_clk_dig_div = 2, set the clk division to 2
+        */
+       writel(0x830, tcphy->base + PMA_CMN_CTRL1);
+       for (i = 0; i < 4; i++) {
+               /*
+                * The following PHY configuration assumes a 24 MHz reference
+                * clock.
+                */
+               writel(0x90, tcphy->base + XCVR_DIAG_LANE_FCM_EN_MGN(i));
+               writel(0x960, tcphy->base + TX_RCVDET_EN_TMR(i));
+               writel(0x30, tcphy->base + TX_RCVDET_ST_TMR(i));
+       }
+
+       rdata = readl(tcphy->base + CMN_DIAG_HSCLK_SEL);
+       rdata &= ~CLK_PLL_MASK;
+       rdata |= CLK_PLL_CONFIG;
+       writel(rdata, tcphy->base + CMN_DIAG_HSCLK_SEL);
+}
+
+static void tcphy_cfg_usb3_pll(struct rockchip_typec_phy *tcphy)
+{
+       u32 i;
+
+       /* load the configuration of PLL0 */
+       for (i = 0; i < ARRAY_SIZE(usb3_pll_cfg); i++)
+               writel(usb3_pll_cfg[i].value,
+                      tcphy->base + usb3_pll_cfg[i].addr);
+}
+
+static void tcphy_cfg_dp_pll(struct rockchip_typec_phy *tcphy)
+{
+       u32 i;
+
+       /* set the default mode to RBR */
+       writel(DP_PLL_CLOCK_ENABLE | DP_PLL_ENABLE | DP_PLL_DATA_RATE_RBR,
+              tcphy->base + DP_CLK_CTL);
+
+       /* load the configuration of PLL1 */
+       for (i = 0; i < ARRAY_SIZE(dp_pll_cfg); i++)
+               writel(dp_pll_cfg[i].value, tcphy->base + dp_pll_cfg[i].addr);
+}
+
+static void tcphy_tx_usb3_cfg_lane(struct rockchip_typec_phy *tcphy, u32 lane)
+{
+       writel(0x7799, tcphy->base + TX_PSC_A0(lane));
+       writel(0x7798, tcphy->base + TX_PSC_A1(lane));
+       writel(0x5098, tcphy->base + TX_PSC_A2(lane));
+       writel(0x5098, tcphy->base + TX_PSC_A3(lane));
+       writel(0, tcphy->base + TX_TXCC_MGNFS_MULT_000(lane));
+       writel(0xbf, tcphy->base + XCVR_DIAG_BIDI_CTRL(lane));
+}
+
+static void tcphy_rx_usb3_cfg_lane(struct rockchip_typec_phy *tcphy, u32 lane)
+{
+       writel(0xa6fd, tcphy->base + RX_PSC_A0(lane));
+       writel(0xa6fd, tcphy->base + RX_PSC_A1(lane));
+       writel(0xa410, tcphy->base + RX_PSC_A2(lane));
+       writel(0x2410, tcphy->base + RX_PSC_A3(lane));
+       writel(0x23ff, tcphy->base + RX_PSC_CAL(lane));
+       writel(0x13, tcphy->base + RX_SIGDET_HL_FILT_TMR(lane));
+       writel(0x03e7, tcphy->base + RX_REE_CTRL_DATA_MASK(lane));
+       writel(0x1004, tcphy->base + RX_DIAG_SIGDET_TUNE(lane));
+       writel(0x2010, tcphy->base + RX_PSC_RDY(lane));
+       writel(0xfb, tcphy->base + XCVR_DIAG_BIDI_CTRL(lane));
+}
+
+static void tcphy_dp_cfg_lane(struct rockchip_typec_phy *tcphy, u32 lane)
+{
+       u16 rdata;
+
+       writel(0xbefc, tcphy->base + XCVR_PSM_RCTRL(lane));
+       writel(0x6799, tcphy->base + TX_PSC_A0(lane));
+       writel(0x6798, tcphy->base + TX_PSC_A1(lane));
+       writel(0x98, tcphy->base + TX_PSC_A2(lane));
+       writel(0x98, tcphy->base + TX_PSC_A3(lane));
+
+       writel(0, tcphy->base + TX_TXCC_MGNFS_MULT_000(lane));
+       writel(0, tcphy->base + TX_TXCC_MGNFS_MULT_001(lane));
+       writel(0, tcphy->base + TX_TXCC_MGNFS_MULT_010(lane));
+       writel(0, tcphy->base + TX_TXCC_MGNFS_MULT_011(lane));
+       writel(0, tcphy->base + TX_TXCC_MGNFS_MULT_100(lane));
+       writel(0, tcphy->base + TX_TXCC_MGNFS_MULT_101(lane));
+       writel(0, tcphy->base + TX_TXCC_MGNFS_MULT_110(lane));
+       writel(0, tcphy->base + TX_TXCC_MGNFS_MULT_111(lane));
+       writel(0, tcphy->base + TX_TXCC_CPOST_MULT_10(lane));
+       writel(0, tcphy->base + TX_TXCC_CPOST_MULT_01(lane));
+       writel(0, tcphy->base + TX_TXCC_CPOST_MULT_00(lane));
+       writel(0, tcphy->base + TX_TXCC_CPOST_MULT_11(lane));
+
+       writel(0x128, tcphy->base + TX_TXCC_CAL_SCLR_MULT(lane));
+       writel(0x400, tcphy->base + TX_DIAG_TX_DRV(lane));
+
+       rdata = readl(tcphy->base + XCVR_DIAG_PLLDRC_CTRL(lane));
+       rdata = (rdata & 0x8fff) | 0x6000;
+       writel(rdata, tcphy->base + XCVR_DIAG_PLLDRC_CTRL(lane));
+}
+
+static inline int property_enable(struct rockchip_typec_phy *tcphy,
+                                 const struct usb3phy_reg *reg, bool en)
+{
+       u32 mask = 1 << reg->write_enable;
+       u32 val = en << reg->enable_bit;
+
+       return regmap_write(tcphy->grf_regs, reg->offset, val | mask);
+}
+
+static void tcphy_dp_aux_calibration(struct rockchip_typec_phy *tcphy)
+{
+       u16 rdata, rdata2, val;
+
+       /* disable txda_cal_latch_en for rewrite the calibration values */
+       rdata = readl(tcphy->base + TX_ANA_CTRL_REG_1);
+       val = rdata & 0xdfff;
+       writel(val, tcphy->base + TX_ANA_CTRL_REG_1);
+
+       /*
+        * read a resistor calibration code from CMN_TXPUCAL_CTRL[6:0] and
+        * write it to TX_DIG_CTRL_REG_2[6:0], and delay 1ms to make sure it
+        * works.
+        */
+       rdata = readl(tcphy->base + TX_DIG_CTRL_REG_2);
+       rdata = rdata & 0xffc0;
+
+       rdata2 = readl(tcphy->base + CMN_TXPUCAL_CTRL);
+       rdata2 = rdata2 & 0x3f;
+
+       val = rdata | rdata2;
+       writel(val, tcphy->base + TX_DIG_CTRL_REG_2);
+       usleep_range(1000, 1050);
+
+       /*
+        * Enable signal for latch that sample and holds calibration values.
+        * Activate this signal for 1 clock cycle to sample new calibration
+        * values.
+        */
+       rdata = readl(tcphy->base + TX_ANA_CTRL_REG_1);
+       val = rdata | 0x2000;
+       writel(val, tcphy->base + TX_ANA_CTRL_REG_1);
+       usleep_range(150, 200);
+
+       /* set TX Voltage Level and TX Deemphasis to 0 */
+       writel(0, tcphy->base + PHY_DP_TX_CTL);
+       /* re-enable decap */
+       writel(0x100, tcphy->base + TX_ANA_CTRL_REG_2);
+       writel(0x300, tcphy->base + TX_ANA_CTRL_REG_2);
+       writel(0x2008, tcphy->base + TX_ANA_CTRL_REG_1);
+       writel(0x2018, tcphy->base + TX_ANA_CTRL_REG_1);
+
+       writel(0, tcphy->base + TX_ANA_CTRL_REG_5);
+
+       /*
+        * Programs txda_drv_ldo_prog[15:0], Sets driver LDO
+        * voltage 16'h1001 for DP-AUX-TX and RX
+        */
+       writel(0x1001, tcphy->base + TX_ANA_CTRL_REG_4);
+
+       /* re-enables Bandgap reference for LDO */
+       writel(0x2098, tcphy->base + TX_ANA_CTRL_REG_1);
+       writel(0x2198, tcphy->base + TX_ANA_CTRL_REG_1);
+
+       /*
+        * re-enables the transmitter pre-driver, driver data selection MUX,
+        * and receiver detect circuits.
+        */
+       writel(0x301, tcphy->base + TX_ANA_CTRL_REG_2);
+       writel(0x303, tcphy->base + TX_ANA_CTRL_REG_2);
+
+       /*
+        * BIT 12: Controls auxda_polarity, which selects the polarity of the
+        * xcvr:
+        * 1, Reverses the polarity (If TYPEC, Pulls ups aux_p and pull
+        * down aux_m)
+        * 0, Normal polarity (if TYPE_C, pulls up aux_m and pulls down
+        * aux_p)
+        */
+       val = 0xa078;
+       if (!tcphy->flip)
+               val |= BIT(12);
+       writel(val, tcphy->base + TX_ANA_CTRL_REG_1);
+
+       writel(0, tcphy->base + TX_ANA_CTRL_REG_3);
+       writel(0, tcphy->base + TX_ANA_CTRL_REG_4);
+       writel(0, tcphy->base + TX_ANA_CTRL_REG_5);
+
+       /*
+        * Controls low_power_swing_en, set the voltage swing of the driver
+        * to 400mv. The values below are peak to peak (differential) values.
+        */
+       writel(4, tcphy->base + TXDA_COEFF_CALC_CTRL);
+       writel(0, tcphy->base + TXDA_CYA_AUXDA_CYA);
+
+       /* Controls tx_high_z_tm_en */
+       val = readl(tcphy->base + TX_DIG_CTRL_REG_2);
+       val |= BIT(15);
+       writel(val, tcphy->base + TX_DIG_CTRL_REG_2);
+}
+
+static int tcphy_phy_init(struct rockchip_typec_phy *tcphy, u8 mode)
+{
+       struct rockchip_usb3phy_port_cfg *cfg = &tcphy->port_cfgs;
+       int ret, i;
+       u32 val;
+
+       ret = clk_prepare_enable(tcphy->clk_core);
+       if (ret) {
+               dev_err(tcphy->dev, "Failed to prepare_enable core clock\n");
+               return ret;
+       }
+
+       ret = clk_prepare_enable(tcphy->clk_ref);
+       if (ret) {
+               dev_err(tcphy->dev, "Failed to prepare_enable ref clock\n");
+               goto err_clk_core;
+       }
+
+       reset_control_deassert(tcphy->tcphy_rst);
+
+       property_enable(tcphy, &cfg->typec_conn_dir, tcphy->flip);
+
+       tcphy_cfg_24m(tcphy);
+
+       if (mode == MODE_DFP_DP) {
+               tcphy_cfg_dp_pll(tcphy);
+               for (i = 0; i < 4; i++)
+                       tcphy_dp_cfg_lane(tcphy, i);
+
+               writel(PIN_ASSIGN_C_E, tcphy->base + PMA_LANE_CFG);
+       } else {
+               tcphy_cfg_usb3_pll(tcphy);
+               tcphy_cfg_dp_pll(tcphy);
+               if (tcphy->flip) {
+                       tcphy_tx_usb3_cfg_lane(tcphy, 3);
+                       tcphy_rx_usb3_cfg_lane(tcphy, 2);
+                       tcphy_dp_cfg_lane(tcphy, 0);
+                       tcphy_dp_cfg_lane(tcphy, 1);
+               } else {
+                       tcphy_tx_usb3_cfg_lane(tcphy, 0);
+                       tcphy_rx_usb3_cfg_lane(tcphy, 1);
+                       tcphy_dp_cfg_lane(tcphy, 2);
+                       tcphy_dp_cfg_lane(tcphy, 3);
+               }
+
+               writel(PIN_ASSIGN_D_F, tcphy->base + PMA_LANE_CFG);
+       }
+
+       writel(DP_MODE_ENTER_A2, tcphy->base + DP_MODE_CTL);
+
+       reset_control_deassert(tcphy->uphy_rst);
+
+       ret = readx_poll_timeout(readl, tcphy->base + PMA_CMN_CTRL1,
+                                val, val & CMN_READY, 10,
+                                PHY_MODE_SET_TIMEOUT);
+       if (ret < 0) {
+               dev_err(tcphy->dev, "wait pma ready timeout\n");
+               ret = -ETIMEDOUT;
+               goto err_wait_pma;
+       }
+
+       reset_control_deassert(tcphy->pipe_rst);
+
+       return 0;
+
+err_wait_pma:
+       reset_control_assert(tcphy->uphy_rst);
+       reset_control_assert(tcphy->tcphy_rst);
+       clk_disable_unprepare(tcphy->clk_ref);
+err_clk_core:
+       clk_disable_unprepare(tcphy->clk_core);
+       return ret;
+}
+
+static void tcphy_phy_deinit(struct rockchip_typec_phy *tcphy)
+{
+       reset_control_assert(tcphy->tcphy_rst);
+       reset_control_assert(tcphy->uphy_rst);
+       reset_control_assert(tcphy->pipe_rst);
+       clk_disable_unprepare(tcphy->clk_core);
+       clk_disable_unprepare(tcphy->clk_ref);
+}
+
+static int tcphy_get_mode(struct rockchip_typec_phy *tcphy)
+{
+       struct extcon_dev *edev = tcphy->extcon;
+       union extcon_property_value property;
+       unsigned int id;
+       bool dfp, ufp, dp;
+       u8 mode;
+       int ret;
+
+       ufp = extcon_get_state(edev, EXTCON_USB);
+       dfp = extcon_get_state(edev, EXTCON_USB_HOST);
+       dp = extcon_get_state(edev, EXTCON_DISP_DP);
+
+       mode = MODE_DFP_USB;
+       id = EXTCON_USB_HOST;
+
+       if (ufp) {
+               mode = MODE_UFP_USB;
+               id = EXTCON_USB;
+       } else if (dp) {
+               mode = MODE_DFP_DP;
+               id = EXTCON_DISP_DP;
+
+               ret = extcon_get_property(edev, id, EXTCON_PROP_USB_SS,
+                                         &property);
+               if (ret) {
+                       dev_err(tcphy->dev, "get superspeed property failed\n");
+                       return ret;
+               }
+
+               if (property.intval)
+                       mode |= MODE_DFP_USB;
+       }
+
+       ret = extcon_get_property(edev, id, EXTCON_PROP_USB_TYPEC_POLARITY,
+                                 &property);
+       if (ret) {
+               dev_err(tcphy->dev, "get polarity property failed\n");
+               return ret;
+       }
+
+       tcphy->flip = property.intval ? 1 : 0;
+
+       return mode;
+}
+
+static int rockchip_usb3_phy_power_on(struct phy *phy)
+{
+       struct rockchip_typec_phy *tcphy = phy_get_drvdata(phy);
+       struct rockchip_usb3phy_port_cfg *cfg = &tcphy->port_cfgs;
+       const struct usb3phy_reg *reg = &cfg->pipe_status;
+       int timeout, new_mode, ret = 0;
+       u32 val;
+
+       mutex_lock(&tcphy->lock);
+
+       new_mode = tcphy_get_mode(tcphy);
+       if (new_mode < 0) {
+               ret = new_mode;
+               goto unlock_ret;
+       }
+
+       /* DP-only mode; fall back to USB2 */
+       if (!(new_mode & (MODE_DFP_USB | MODE_UFP_USB)))
+               goto unlock_ret;
+
+       if (tcphy->mode == new_mode)
+               goto unlock_ret;
+
+       if (tcphy->mode == MODE_DISCONNECT)
+               tcphy_phy_init(tcphy, new_mode);
+
+       /* wait TCPHY for pipe ready */
+       for (timeout = 0; timeout < 100; timeout++) {
+               regmap_read(tcphy->grf_regs, reg->offset, &val);
+               if (!(val & BIT(reg->enable_bit))) {
+                       tcphy->mode |= new_mode & (MODE_DFP_USB | MODE_UFP_USB);
+                       goto unlock_ret;
+               }
+               usleep_range(10, 20);
+       }
+
+       if (tcphy->mode == MODE_DISCONNECT)
+               tcphy_phy_deinit(tcphy);
+
+       ret = -ETIMEDOUT;
+
+unlock_ret:
+       mutex_unlock(&tcphy->lock);
+       return ret;
+}
+
+static int rockchip_usb3_phy_power_off(struct phy *phy)
+{
+       struct rockchip_typec_phy *tcphy = phy_get_drvdata(phy);
+
+       mutex_lock(&tcphy->lock);
+
+       if (tcphy->mode == MODE_DISCONNECT)
+               goto unlock;
+
+       tcphy->mode &= ~(MODE_UFP_USB | MODE_DFP_USB);
+       if (tcphy->mode == MODE_DISCONNECT)
+               tcphy_phy_deinit(tcphy);
+
+unlock:
+       mutex_unlock(&tcphy->lock);
+       return 0;
+}
+
+static const struct phy_ops rockchip_usb3_phy_ops = {
+       .power_on       = rockchip_usb3_phy_power_on,
+       .power_off      = rockchip_usb3_phy_power_off,
+       .owner          = THIS_MODULE,
+};
+
+static int rockchip_dp_phy_power_on(struct phy *phy)
+{
+       struct rockchip_typec_phy *tcphy = phy_get_drvdata(phy);
+       int new_mode, ret = 0;
+       u32 val;
+
+       mutex_lock(&tcphy->lock);
+
+       new_mode = tcphy_get_mode(tcphy);
+       if (new_mode < 0) {
+               ret = new_mode;
+               goto unlock_ret;
+       }
+
+       if (!(new_mode & MODE_DFP_DP)) {
+               ret = -ENODEV;
+               goto unlock_ret;
+       }
+
+       if (tcphy->mode == new_mode)
+               goto unlock_ret;
+
+       /*
+        * If the PHY has been power on, but the mode is not DP only mode,
+        * re-init the PHY for setting all of 4 lanes to DP.
+        */
+       if (new_mode == MODE_DFP_DP && tcphy->mode != MODE_DISCONNECT) {
+               tcphy_phy_deinit(tcphy);
+               tcphy_phy_init(tcphy, new_mode);
+       } else if (tcphy->mode == MODE_DISCONNECT) {
+               tcphy_phy_init(tcphy, new_mode);
+       }
+
+       ret = readx_poll_timeout(readl, tcphy->base + DP_MODE_CTL,
+                                val, val & DP_MODE_A2, 1000,
+                                PHY_MODE_SET_TIMEOUT);
+       if (ret < 0) {
+               dev_err(tcphy->dev, "failed to wait TCPHY enter A2\n");
+               goto power_on_finish;
+       }
+
+       tcphy_dp_aux_calibration(tcphy);
+
+       writel(DP_MODE_ENTER_A0, tcphy->base + DP_MODE_CTL);
+
+       ret = readx_poll_timeout(readl, tcphy->base + DP_MODE_CTL,
+                                val, val & DP_MODE_A0, 1000,
+                                PHY_MODE_SET_TIMEOUT);
+       if (ret < 0) {
+               writel(DP_MODE_ENTER_A2, tcphy->base + DP_MODE_CTL);
+               dev_err(tcphy->dev, "failed to wait TCPHY enter A0\n");
+               goto power_on_finish;
+       }
+
+       tcphy->mode |= MODE_DFP_DP;
+
+power_on_finish:
+       if (tcphy->mode == MODE_DISCONNECT)
+               tcphy_phy_deinit(tcphy);
+unlock_ret:
+       mutex_unlock(&tcphy->lock);
+       return ret;
+}
+
+static int rockchip_dp_phy_power_off(struct phy *phy)
+{
+       struct rockchip_typec_phy *tcphy = phy_get_drvdata(phy);
+
+       mutex_lock(&tcphy->lock);
+
+       if (tcphy->mode == MODE_DISCONNECT)
+               goto unlock;
+
+       tcphy->mode &= ~MODE_DFP_DP;
+
+       writel(DP_MODE_ENTER_A2, tcphy->base + DP_MODE_CTL);
+
+       if (tcphy->mode == MODE_DISCONNECT)
+               tcphy_phy_deinit(tcphy);
+
+unlock:
+       mutex_unlock(&tcphy->lock);
+       return 0;
+}
+
+static const struct phy_ops rockchip_dp_phy_ops = {
+       .power_on       = rockchip_dp_phy_power_on,
+       .power_off      = rockchip_dp_phy_power_off,
+       .owner          = THIS_MODULE,
+};
+
+static int tcphy_get_param(struct device *dev,
+                          struct usb3phy_reg *reg,
+                          const char *name)
+{
+       u32 buffer[3];
+       int ret;
+
+       ret = of_property_read_u32_array(dev->of_node, name, buffer, 3);
+       if (ret) {
+               dev_err(dev, "Can not parse %s\n", name);
+               return ret;
+       }
+
+       reg->offset = buffer[0];
+       reg->enable_bit = buffer[1];
+       reg->write_enable = buffer[2];
+       return 0;
+}
+
+static int tcphy_parse_dt(struct rockchip_typec_phy *tcphy,
+                         struct device *dev)
+{
+       struct rockchip_usb3phy_port_cfg *cfg = &tcphy->port_cfgs;
+       int ret;
+
+       ret = tcphy_get_param(dev, &cfg->typec_conn_dir,
+                             "rockchip,typec-conn-dir");
+       if (ret)
+               return ret;
+
+       ret = tcphy_get_param(dev, &cfg->usb3tousb2_en,
+                             "rockchip,usb3tousb2-en");
+       if (ret)
+               return ret;
+
+       ret = tcphy_get_param(dev, &cfg->external_psm,
+                             "rockchip,external-psm");
+       if (ret)
+               return ret;
+
+       ret = tcphy_get_param(dev, &cfg->pipe_status,
+                             "rockchip,pipe-status");
+       if (ret)
+               return ret;
+
+       tcphy->grf_regs = syscon_regmap_lookup_by_phandle(dev->of_node,
+                                                         "rockchip,grf");
+       if (IS_ERR(tcphy->grf_regs)) {
+               dev_err(dev, "could not find grf dt node\n");
+               return PTR_ERR(tcphy->grf_regs);
+       }
+
+       tcphy->clk_core = devm_clk_get(dev, "tcpdcore");
+       if (IS_ERR(tcphy->clk_core)) {
+               dev_err(dev, "could not get uphy core clock\n");
+               return PTR_ERR(tcphy->clk_core);
+       }
+
+       tcphy->clk_ref = devm_clk_get(dev, "tcpdphy-ref");
+       if (IS_ERR(tcphy->clk_ref)) {
+               dev_err(dev, "could not get uphy ref clock\n");
+               return PTR_ERR(tcphy->clk_ref);
+       }
+
+       tcphy->uphy_rst = devm_reset_control_get(dev, "uphy");
+       if (IS_ERR(tcphy->uphy_rst)) {
+               dev_err(dev, "no uphy_rst reset control found\n");
+               return PTR_ERR(tcphy->uphy_rst);
+       }
+
+       tcphy->pipe_rst = devm_reset_control_get(dev, "uphy-pipe");
+       if (IS_ERR(tcphy->pipe_rst)) {
+               dev_err(dev, "no pipe_rst reset control found\n");
+               return PTR_ERR(tcphy->pipe_rst);
+       }
+
+       tcphy->tcphy_rst = devm_reset_control_get(dev, "uphy-tcphy");
+       if (IS_ERR(tcphy->tcphy_rst)) {
+               dev_err(dev, "no tcphy_rst reset control found\n");
+               return PTR_ERR(tcphy->tcphy_rst);
+       }
+
+       return 0;
+}
+
+static void typec_phy_pre_init(struct rockchip_typec_phy *tcphy)
+{
+       struct rockchip_usb3phy_port_cfg *cfg = &tcphy->port_cfgs;
+
+       reset_control_assert(tcphy->tcphy_rst);
+       reset_control_assert(tcphy->uphy_rst);
+       reset_control_assert(tcphy->pipe_rst);
+
+       /* select external psm clock */
+       property_enable(tcphy, &cfg->external_psm, 1);
+       property_enable(tcphy, &cfg->usb3tousb2_en, 0);
+
+       tcphy->mode = MODE_DISCONNECT;
+}
+
+static int rockchip_typec_phy_probe(struct platform_device *pdev)
+{
+       struct device *dev = &pdev->dev;
+       struct device_node *np = dev->of_node;
+       struct device_node *child_np;
+       struct rockchip_typec_phy *tcphy;
+       struct phy_provider *phy_provider;
+       struct resource *res;
+       int ret;
+
+       tcphy = devm_kzalloc(dev, sizeof(*tcphy), GFP_KERNEL);
+       if (!tcphy)
+               return -ENOMEM;
+
+       res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
+       tcphy->base = devm_ioremap_resource(dev, res);
+       if (IS_ERR(tcphy->base))
+               return PTR_ERR(tcphy->base);
+
+       ret = tcphy_parse_dt(tcphy, dev);
+       if (ret)
+               return ret;
+
+       tcphy->dev = dev;
+       platform_set_drvdata(pdev, tcphy);
+       mutex_init(&tcphy->lock);
+
+       typec_phy_pre_init(tcphy);
+
+       tcphy->extcon = extcon_get_edev_by_phandle(dev, 0);
+       if (IS_ERR(tcphy->extcon)) {
+               if (PTR_ERR(tcphy->extcon) != -EPROBE_DEFER)
+                       dev_err(dev, "Invalid or missing extcon\n");
+               return PTR_ERR(tcphy->extcon);
+       }
+
+       pm_runtime_enable(dev);
+
+       for_each_available_child_of_node(np, child_np) {
+               struct phy *phy;
+
+               if (!of_node_cmp(child_np->name, "dp-port"))
+                       phy = devm_phy_create(dev, child_np,
+                                             &rockchip_dp_phy_ops);
+               else if (!of_node_cmp(child_np->name, "usb3-port"))
+                       phy = devm_phy_create(dev, child_np,
+                                             &rockchip_usb3_phy_ops);
+               else
+                       continue;
+
+               if (IS_ERR(phy)) {
+                       dev_err(dev, "failed to create phy: %s\n",
+                               child_np->name);
+                       return PTR_ERR(phy);
+               }
+
+               phy_set_drvdata(phy, tcphy);
+       }
+
+       phy_provider = devm_of_phy_provider_register(dev, of_phy_simple_xlate);
+       if (IS_ERR(phy_provider)) {
+               dev_err(dev, "Failed to register phy provider\n");
+               return PTR_ERR(phy_provider);
+       }
+
+       return 0;
+}
+
+static int rockchip_typec_phy_remove(struct platform_device *pdev)
+{
+       pm_runtime_disable(&pdev->dev);
+
+       return 0;
+}
+
+static const struct of_device_id rockchip_typec_phy_dt_ids[] = {
+       { .compatible = "rockchip,rk3399-typec-phy" },
+       {}
+};
+
+MODULE_DEVICE_TABLE(of, rockchip_typec_phy_dt_ids);
+
+static struct platform_driver rockchip_typec_phy_driver = {
+       .probe          = rockchip_typec_phy_probe,
+       .remove         = rockchip_typec_phy_remove,
+       .driver         = {
+               .name   = "rockchip-typec-phy",
+               .of_match_table = rockchip_typec_phy_dt_ids,
+       },
+};
+
+module_platform_driver(rockchip_typec_phy_driver);
+
+MODULE_AUTHOR("Chris Zhong <zyw@rock-chips.com>");
+MODULE_AUTHOR("Kever Yang <kever.yang@rock-chips.com>");
+MODULE_DESCRIPTION("Rockchip USB TYPE-C PHY driver");
+MODULE_LICENSE("GPL v2");
index 2a7381f..734987f 100644 (file)
@@ -29,6 +29,7 @@
 #include <linux/reset.h>
 #include <linux/regmap.h>
 #include <linux/mfd/syscon.h>
+#include <linux/delay.h>
 
 static int enable_usb_uart;
 
@@ -64,6 +65,7 @@ struct rockchip_usb_phy {
        struct clk_hw   clk480m_hw;
        struct phy      *phy;
        bool            uart_enabled;
+       struct reset_control *reset;
 };
 
 static int rockchip_usb_phy_power(struct rockchip_usb_phy *phy,
@@ -144,9 +146,23 @@ static int rockchip_usb_phy_power_on(struct phy *_phy)
        return clk_prepare_enable(phy->clk480m);
 }
 
+static int rockchip_usb_phy_reset(struct phy *_phy)
+{
+       struct rockchip_usb_phy *phy = phy_get_drvdata(_phy);
+
+       if (phy->reset) {
+               reset_control_assert(phy->reset);
+               udelay(10);
+               reset_control_deassert(phy->reset);
+       }
+
+       return 0;
+}
+
 static const struct phy_ops ops = {
        .power_on       = rockchip_usb_phy_power_on,
        .power_off      = rockchip_usb_phy_power_off,
+       .reset          = rockchip_usb_phy_reset,
        .owner          = THIS_MODULE,
 };
 
@@ -185,6 +201,10 @@ static int rockchip_usb_phy_init(struct rockchip_usb_phy_base *base,
                return -EINVAL;
        }
 
+       rk_phy->reset = of_reset_control_get(child, "phy-reset");
+       if (IS_ERR(rk_phy->reset))
+               rk_phy->reset = NULL;
+
        rk_phy->reg_offset = reg_offset;
 
        rk_phy->clk = of_clk_get_by_name(child, "phyclk");
index 8c7eb33..b9342a2 100644 (file)
@@ -40,6 +40,7 @@
 #include <linux/power_supply.h>
 #include <linux/regulator/consumer.h>
 #include <linux/reset.h>
+#include <linux/spinlock.h>
 #include <linux/usb/of.h>
 #include <linux/workqueue.h>
 
@@ -50,7 +51,7 @@
 #define REG_PHYCTL_A33                 0x10
 #define REG_PHY_UNK_H3                 0x20
 
-#define REG_PMU_UNK_H3                 0x10
+#define REG_PMU_UNK1                   0x10
 
 #define PHYCTL_DATA                    BIT(7)
 
@@ -98,6 +99,7 @@ enum sun4i_usb_phy_type {
        sun6i_a31_phy,
        sun8i_a33_phy,
        sun8i_h3_phy,
+       sun50i_a64_phy,
 };
 
 struct sun4i_usb_phy_cfg {
@@ -106,13 +108,14 @@ struct sun4i_usb_phy_cfg {
        u32 disc_thresh;
        u8 phyctl_offset;
        bool dedicated_clocks;
+       bool enable_pmu_unk1;
 };
 
 struct sun4i_usb_phy_data {
        void __iomem *base;
        const struct sun4i_usb_phy_cfg *cfg;
        enum usb_dr_mode dr_mode;
-       struct mutex mutex;
+       spinlock_t reg_lock; /* guard access to phyctl reg */
        struct sun4i_usb_phy {
                struct phy *phy;
                void __iomem *pmu;
@@ -122,7 +125,6 @@ struct sun4i_usb_phy_data {
                bool regulator_on;
                int index;
        } phys[MAX_PHYS];
-       int first_phy;
        /* phy0 / otg related variables */
        struct extcon_dev *extcon;
        bool phy0_init;
@@ -131,6 +133,7 @@ struct sun4i_usb_phy_data {
        struct power_supply *vbus_power_supply;
        struct notifier_block vbus_power_nb;
        bool vbus_power_nb_registered;
+       bool force_session_end;
        int id_det_irq;
        int vbus_det_irq;
        int id_det;
@@ -179,12 +182,14 @@ static void sun4i_usb_phy_write(struct sun4i_usb_phy *phy, u32 addr, u32 data,
        struct sun4i_usb_phy_data *phy_data = to_sun4i_usb_phy_data(phy);
        u32 temp, usbc_bit = BIT(phy->index * 2);
        void __iomem *phyctl = phy_data->base + phy_data->cfg->phyctl_offset;
+       unsigned long flags;
        int i;
 
-       mutex_lock(&phy_data->mutex);
+       spin_lock_irqsave(&phy_data->reg_lock, flags);
 
-       if (phy_data->cfg->type == sun8i_a33_phy) {
-               /* A33 needs us to set phyctl to 0 explicitly */
+       if (phy_data->cfg->type == sun8i_a33_phy ||
+           phy_data->cfg->type == sun50i_a64_phy) {
+               /* A33 or A64 needs us to set phyctl to 0 explicitly */
                writel(0, phyctl);
        }
 
@@ -218,7 +223,8 @@ static void sun4i_usb_phy_write(struct sun4i_usb_phy *phy, u32 addr, u32 data,
 
                data >>= 1;
        }
-       mutex_unlock(&phy_data->mutex);
+
+       spin_unlock_irqrestore(&phy_data->reg_lock, flags);
 }
 
 static void sun4i_usb_phy_passby(struct sun4i_usb_phy *phy, int enable)
@@ -258,14 +264,16 @@ static int sun4i_usb_phy_init(struct phy *_phy)
                return ret;
        }
 
+       if (data->cfg->enable_pmu_unk1) {
+               val = readl(phy->pmu + REG_PMU_UNK1);
+               writel(val & ~2, phy->pmu + REG_PMU_UNK1);
+       }
+
        if (data->cfg->type == sun8i_h3_phy) {
                if (phy->index == 0) {
                        val = readl(data->base + REG_PHY_UNK_H3);
                        writel(val & ~1, data->base + REG_PHY_UNK_H3);
                }
-
-               val = readl(phy->pmu + REG_PMU_UNK_H3);
-               writel(val & ~2, phy->pmu + REG_PMU_UNK_H3);
        } else {
                /* Enable USB 45 Ohm resistor calibration */
                if (phy->index == 0)
@@ -320,7 +328,10 @@ static int sun4i_usb_phy0_get_id_det(struct sun4i_usb_phy_data *data)
 {
        switch (data->dr_mode) {
        case USB_DR_MODE_OTG:
-               return gpiod_get_value_cansleep(data->id_det_gpio);
+               if (data->id_det_gpio)
+                       return gpiod_get_value_cansleep(data->id_det_gpio);
+               else
+                       return 1; /* Fallback to peripheral mode */
        case USB_DR_MODE_HOST:
                return 0;
        case USB_DR_MODE_PERIPHERAL:
@@ -382,8 +393,10 @@ static int sun4i_usb_phy_power_on(struct phy *_phy)
 
        /* For phy0 only turn on Vbus if we don't have an ext. Vbus */
        if (phy->index == 0 && sun4i_usb_phy0_have_vbus_det(data) &&
-                               data->vbus_det)
+                               data->vbus_det) {
+               dev_warn(&_phy->dev, "External vbus detected, not enabling our own vbus\n");
                return 0;
+       }
 
        ret = regulator_enable(phy->vbus);
        if (ret)
@@ -419,6 +432,35 @@ static int sun4i_usb_phy_power_off(struct phy *_phy)
        return 0;
 }
 
+static int sun4i_usb_phy_set_mode(struct phy *_phy, enum phy_mode mode)
+{
+       struct sun4i_usb_phy *phy = phy_get_drvdata(_phy);
+       struct sun4i_usb_phy_data *data = to_sun4i_usb_phy_data(phy);
+
+       if (phy->index != 0)
+               return -EINVAL;
+
+       switch (mode) {
+       case PHY_MODE_USB_HOST:
+               data->dr_mode = USB_DR_MODE_HOST;
+               break;
+       case PHY_MODE_USB_DEVICE:
+               data->dr_mode = USB_DR_MODE_PERIPHERAL;
+               break;
+       case PHY_MODE_USB_OTG:
+               data->dr_mode = USB_DR_MODE_OTG;
+               break;
+       default:
+               return -EINVAL;
+       }
+
+       dev_info(&_phy->dev, "Changing dr_mode to %d\n", (int)data->dr_mode);
+       data->force_session_end = true;
+       queue_delayed_work(system_wq, &data->detect, 0);
+
+       return 0;
+}
+
 void sun4i_usb_phy_set_squelch_detect(struct phy *_phy, bool enabled)
 {
        struct sun4i_usb_phy *phy = phy_get_drvdata(_phy);
@@ -432,6 +474,7 @@ static const struct phy_ops sun4i_usb_phy_ops = {
        .exit           = sun4i_usb_phy_exit,
        .power_on       = sun4i_usb_phy_power_on,
        .power_off      = sun4i_usb_phy_power_off,
+       .set_mode       = sun4i_usb_phy_set_mode,
        .owner          = THIS_MODULE,
 };
 
@@ -440,7 +483,8 @@ static void sun4i_usb_phy0_id_vbus_det_scan(struct work_struct *work)
        struct sun4i_usb_phy_data *data =
                container_of(work, struct sun4i_usb_phy_data, detect.work);
        struct phy *phy0 = data->phys[0].phy;
-       int id_det, vbus_det, id_notify = 0, vbus_notify = 0;
+       bool force_session_end, id_notify = false, vbus_notify = false;
+       int id_det, vbus_det;
 
        if (phy0 == NULL)
                return;
@@ -455,27 +499,30 @@ static void sun4i_usb_phy0_id_vbus_det_scan(struct work_struct *work)
                return;
        }
 
+       force_session_end = data->force_session_end;
+       data->force_session_end = false;
+
        if (id_det != data->id_det) {
-               /*
-                * When a host cable (id == 0) gets plugged in on systems
-                * without vbus detection report vbus low for long enough for
-                * the musb-ip to end the current device session.
-                */
+               /* id-change, force session end if we've no vbus detection */
                if (data->dr_mode == USB_DR_MODE_OTG &&
-                   !sun4i_usb_phy0_have_vbus_det(data) && id_det == 0) {
+                   !sun4i_usb_phy0_have_vbus_det(data))
+                       force_session_end = true;
+
+               /* When entering host mode (id = 0) force end the session now */
+               if (force_session_end && id_det == 0) {
                        sun4i_usb_phy0_set_vbus_detect(phy0, 0);
                        msleep(200);
                        sun4i_usb_phy0_set_vbus_detect(phy0, 1);
                }
                sun4i_usb_phy0_set_id_detect(phy0, id_det);
                data->id_det = id_det;
-               id_notify = 1;
+               id_notify = true;
        }
 
        if (vbus_det != data->vbus_det) {
                sun4i_usb_phy0_set_vbus_detect(phy0, vbus_det);
                data->vbus_det = vbus_det;
-               vbus_notify = 1;
+               vbus_notify = true;
        }
 
        mutex_unlock(&phy0->mutex);
@@ -483,13 +530,8 @@ static void sun4i_usb_phy0_id_vbus_det_scan(struct work_struct *work)
        if (id_notify) {
                extcon_set_cable_state_(data->extcon, EXTCON_USB_HOST,
                                        !id_det);
-               /*
-                * When a host cable gets unplugged (id == 1) on systems
-                * without vbus detection report vbus low for long enough to
-                * the musb-ip to end the current host session.
-                */
-               if (data->dr_mode == USB_DR_MODE_OTG &&
-                   !sun4i_usb_phy0_have_vbus_det(data) && id_det == 1) {
+               /* When leaving host mode force end the session here */
+               if (force_session_end && id_det == 1) {
                        mutex_lock(&phy0->mutex);
                        sun4i_usb_phy0_set_vbus_detect(phy0, 0);
                        msleep(1000);
@@ -534,8 +576,7 @@ static struct phy *sun4i_usb_phy_xlate(struct device *dev,
 {
        struct sun4i_usb_phy_data *data = dev_get_drvdata(dev);
 
-       if (args->args[0] < data->first_phy ||
-           args->args[0] >= data->cfg->num_phys)
+       if (args->args[0] >= data->cfg->num_phys)
                return ERR_PTR(-ENODEV);
 
        return data->phys[args->args[0]].phy;
@@ -577,7 +618,7 @@ static int sun4i_usb_phy_probe(struct platform_device *pdev)
        if (!data)
                return -ENOMEM;
 
-       mutex_init(&data->mutex);
+       spin_lock_init(&data->reg_lock);
        INIT_DELAYED_WORK(&data->detect, sun4i_usb_phy0_id_vbus_det_scan);
        dev_set_drvdata(dev, data);
        data->cfg = of_device_get_match_data(dev);
@@ -610,33 +651,18 @@ static int sun4i_usb_phy_probe(struct platform_device *pdev)
        }
 
        data->dr_mode = of_usb_get_dr_mode_by_phy(np, 0);
-       switch (data->dr_mode) {
-       case USB_DR_MODE_OTG:
-               /* otg without id_det makes no sense, and is not supported */
-               if (!data->id_det_gpio) {
-                       dev_err(dev, "usb0_id_det missing or invalid\n");
-                       return -ENODEV;
-               }
-               /* fall through */
-       case USB_DR_MODE_HOST:
-       case USB_DR_MODE_PERIPHERAL:
-               data->extcon = devm_extcon_dev_allocate(dev,
-                                                       sun4i_usb_phy0_cable);
-               if (IS_ERR(data->extcon))
-                       return PTR_ERR(data->extcon);
 
-               ret = devm_extcon_dev_register(dev, data->extcon);
-               if (ret) {
-                       dev_err(dev, "failed to register extcon: %d\n", ret);
-                       return ret;
-               }
-               break;
-       default:
-               dev_info(dev, "dr_mode unknown, not registering usb phy0\n");
-               data->first_phy = 1;
+       data->extcon = devm_extcon_dev_allocate(dev, sun4i_usb_phy0_cable);
+       if (IS_ERR(data->extcon))
+               return PTR_ERR(data->extcon);
+
+       ret = devm_extcon_dev_register(dev, data->extcon);
+       if (ret) {
+               dev_err(dev, "failed to register extcon: %d\n", ret);
+               return ret;
        }
 
-       for (i = data->first_phy; i < data->cfg->num_phys; i++) {
+       for (i = 0; i < data->cfg->num_phys; i++) {
                struct sun4i_usb_phy *phy = data->phys + i;
                char name[16];
 
@@ -737,6 +763,7 @@ static const struct sun4i_usb_phy_cfg sun4i_a10_cfg = {
        .disc_thresh = 3,
        .phyctl_offset = REG_PHYCTL_A10,
        .dedicated_clocks = false,
+       .enable_pmu_unk1 = false,
 };
 
 static const struct sun4i_usb_phy_cfg sun5i_a13_cfg = {
@@ -745,6 +772,7 @@ static const struct sun4i_usb_phy_cfg sun5i_a13_cfg = {
        .disc_thresh = 2,
        .phyctl_offset = REG_PHYCTL_A10,
        .dedicated_clocks = false,
+       .enable_pmu_unk1 = false,
 };
 
 static const struct sun4i_usb_phy_cfg sun6i_a31_cfg = {
@@ -753,6 +781,7 @@ static const struct sun4i_usb_phy_cfg sun6i_a31_cfg = {
        .disc_thresh = 3,
        .phyctl_offset = REG_PHYCTL_A10,
        .dedicated_clocks = true,
+       .enable_pmu_unk1 = false,
 };
 
 static const struct sun4i_usb_phy_cfg sun7i_a20_cfg = {
@@ -761,6 +790,7 @@ static const struct sun4i_usb_phy_cfg sun7i_a20_cfg = {
        .disc_thresh = 2,
        .phyctl_offset = REG_PHYCTL_A10,
        .dedicated_clocks = false,
+       .enable_pmu_unk1 = false,
 };
 
 static const struct sun4i_usb_phy_cfg sun8i_a23_cfg = {
@@ -769,6 +799,7 @@ static const struct sun4i_usb_phy_cfg sun8i_a23_cfg = {
        .disc_thresh = 3,
        .phyctl_offset = REG_PHYCTL_A10,
        .dedicated_clocks = true,
+       .enable_pmu_unk1 = false,
 };
 
 static const struct sun4i_usb_phy_cfg sun8i_a33_cfg = {
@@ -777,6 +808,7 @@ static const struct sun4i_usb_phy_cfg sun8i_a33_cfg = {
        .disc_thresh = 3,
        .phyctl_offset = REG_PHYCTL_A33,
        .dedicated_clocks = true,
+       .enable_pmu_unk1 = false,
 };
 
 static const struct sun4i_usb_phy_cfg sun8i_h3_cfg = {
@@ -784,6 +816,16 @@ static const struct sun4i_usb_phy_cfg sun8i_h3_cfg = {
        .type = sun8i_h3_phy,
        .disc_thresh = 3,
        .dedicated_clocks = true,
+       .enable_pmu_unk1 = true,
+};
+
+static const struct sun4i_usb_phy_cfg sun50i_a64_cfg = {
+       .num_phys = 2,
+       .type = sun50i_a64_phy,
+       .disc_thresh = 3,
+       .phyctl_offset = REG_PHYCTL_A33,
+       .dedicated_clocks = true,
+       .enable_pmu_unk1 = true,
 };
 
 static const struct of_device_id sun4i_usb_phy_of_match[] = {
@@ -794,6 +836,8 @@ static const struct of_device_id sun4i_usb_phy_of_match[] = {
        { .compatible = "allwinner,sun8i-a23-usb-phy", .data = &sun8i_a23_cfg },
        { .compatible = "allwinner,sun8i-a33-usb-phy", .data = &sun8i_a33_cfg },
        { .compatible = "allwinner,sun8i-h3-usb-phy", .data = &sun8i_h3_cfg },
+       { .compatible = "allwinner,sun50i-a64-usb-phy",
+         .data = &sun50i_a64_cfg},
        { },
 };
 MODULE_DEVICE_TABLE(of, sun4i_usb_phy_of_match);
index d9b10a3..87e6334 100644 (file)
@@ -172,6 +172,7 @@ struct twl4030_usb {
        int                     irq;
        enum musb_vbus_id_status linkstat;
        bool                    vbus_supplied;
+       bool                    musb_mailbox_pending;
 
        struct delayed_work     id_workaround_work;
 };
@@ -439,6 +440,17 @@ static int __maybe_unused twl4030_usb_runtime_resume(struct device *dev)
                          (PHY_CLK_CTRL_CLOCKGATING_EN |
                           PHY_CLK_CTRL_CLK32K_EN));
 
+       twl4030_i2c_access(twl, 1);
+       twl4030_usb_set_mode(twl, twl->usb_mode);
+       if (twl->usb_mode == T2_USB_MODE_ULPI)
+               twl4030_i2c_access(twl, 0);
+       /*
+        * According to the TPS65950 TRM, there has to be at least 50ms
+        * delay between setting POWER_CTRL_OTG_ENAB and enabling charging
+        * so wait here so that a fully enabled phy can be expected after
+        * resume
+        */
+       msleep(50);
        return 0;
 }
 
@@ -459,11 +471,6 @@ static int twl4030_phy_power_on(struct phy *phy)
 
        dev_dbg(twl->dev, "%s\n", __func__);
        pm_runtime_get_sync(twl->dev);
-       twl4030_i2c_access(twl, 1);
-       twl4030_usb_set_mode(twl, twl->usb_mode);
-       if (twl->usb_mode == T2_USB_MODE_ULPI)
-               twl4030_i2c_access(twl, 0);
-       twl->linkstat = MUSB_UNKNOWN;
        schedule_delayed_work(&twl->id_workaround_work, HZ);
 
        return 0;
@@ -569,9 +576,12 @@ static irqreturn_t twl4030_usb_irq(int irq, void *_twl)
                        pm_runtime_mark_last_busy(twl->dev);
                        pm_runtime_put_autosuspend(twl->dev);
                }
+               twl->musb_mailbox_pending = true;
+       }
+       if (twl->musb_mailbox_pending) {
                err = musb_mailbox(status);
-               if (err)
-                       twl->linkstat = MUSB_UNKNOWN;
+               if (!err)
+                       twl->musb_mailbox_pending = false;
        }
 
        /* don't schedule during sleep - irq works right then */
@@ -676,6 +686,7 @@ static int twl4030_usb_probe(struct platform_device *pdev)
        twl->irq                = platform_get_irq(pdev, 0);
        twl->vbus_supplied      = false;
        twl->linkstat           = MUSB_UNKNOWN;
+       twl->musb_mailbox_pending = false;
 
        twl->phy.dev            = twl->dev;
        twl->phy.label          = "twl4030";
index ec83dfd..873424a 100644 (file)
@@ -18,6 +18,7 @@
 #include <linux/of.h>
 #include <linux/of_device.h>
 #include <linux/phy/phy.h>
+#include <linux/phy/tegra/xusb.h>
 #include <linux/platform_device.h>
 #include <linux/regulator/consumer.h>
 #include <linux/reset.h>
@@ -101,7 +102,8 @@ tegra_xusb_pad_find_phy_node(struct tegra_xusb_pad *pad, unsigned int index)
        return of_find_node_by_name(np, pad->soc->lanes[index].name);
 }
 
-int tegra_xusb_lane_lookup_function(struct tegra_xusb_lane *lane,
+static int
+tegra_xusb_lane_lookup_function(struct tegra_xusb_lane *lane,
                                    const char *function)
 {
        unsigned int i;
index 8689dcb..644e978 100644 (file)
@@ -152,7 +152,8 @@ source "drivers/usb/gadget/Kconfig"
 
 config USB_LED_TRIG
        bool "USB LED Triggers"
-       depends on LEDS_CLASS && USB_COMMON && LEDS_TRIGGERS
+       depends on LEDS_CLASS && LEDS_TRIGGERS
+       select USB_COMMON
        help
          This option adds LED triggers for USB host and/or gadget activity.
 
@@ -160,4 +161,25 @@ config USB_LED_TRIG
          LEDs and you want to use them as activity indicators for USB host or
          gadget.
 
+config USB_ULPI_BUS
+       tristate "USB ULPI PHY interface support"
+       select USB_COMMON
+       help
+         UTMI+ Low Pin Interface (ULPI) is specification for a commonly used
+         USB 2.0 PHY interface. The ULPI specification defines a standard set
+         of registers that can be used to detect the vendor and product which
+         allows ULPI to be handled as a bus. This module is the driver for that
+         bus.
+
+         The ULPI interfaces (the buses) are registered by the drivers for USB
+         controllers which support ULPI register access and have ULPI PHY
+         attached to them. The ULPI PHY drivers themselves are normal PHY
+         drivers.
+
+         ULPI PHYs provide often functions such as ADP sensing/probing (OTG
+         protocol) and USB charger detection.
+
+         To compile this driver as a module, choose M here: the module will
+         be called ulpi.
+
 endif # USB_SUPPORT
index 0a866e9..f9fe86b 100644 (file)
@@ -1139,10 +1139,8 @@ static int cxacru_bind(struct usbatm_data *usbatm_instance,
 
        /* instance init */
        instance = kzalloc(sizeof(*instance), GFP_KERNEL);
-       if (!instance) {
-               usb_dbg(usbatm_instance, "cxacru_bind: no memory for instance data\n");
+       if (!instance)
                return -ENOMEM;
-       }
 
        instance->usbatm = usbatm_instance;
        instance->modem_type = (struct cxacru_modem_type *) id->driver_info;
@@ -1168,13 +1166,11 @@ static int cxacru_bind(struct usbatm_data *usbatm_instance,
        }
        instance->rcv_urb = usb_alloc_urb(0, GFP_KERNEL);
        if (!instance->rcv_urb) {
-               usb_dbg(usbatm_instance, "cxacru_bind: no memory for rcv_urb\n");
                ret = -ENOMEM;
                goto fail;
        }
        instance->snd_urb = usb_alloc_urb(0, GFP_KERNEL);
        if (!instance->snd_urb) {
-               usb_dbg(usbatm_instance, "cxacru_bind: no memory for snd_urb\n");
                ret = -ENOMEM;
                goto fail;
        }
index 0270d13..5083eb5 100644 (file)
@@ -817,7 +817,6 @@ static int speedtch_bind(struct usbatm_data *usbatm,
        instance = kzalloc(sizeof(*instance), GFP_KERNEL);
 
        if (!instance) {
-               usb_err(usbatm, "%s: no memory for instance data!\n", __func__);
                ret = -ENOMEM;
                goto fail_release;
        }
index 4333dc5..df67815 100644 (file)
@@ -2196,17 +2196,12 @@ static int uea_boot(struct uea_softc *sc)
                load_XILINX_firmware(sc);
 
        intr = kmalloc(size, GFP_KERNEL);
-       if (!intr) {
-               uea_err(INS_TO_USBDEV(sc),
-                      "cannot allocate interrupt package\n");
+       if (!intr)
                goto err0;
-       }
 
        sc->urb_int = usb_alloc_urb(0, GFP_KERNEL);
-       if (!sc->urb_int) {
-               uea_err(INS_TO_USBDEV(sc), "cannot allocate interrupt URB\n");
+       if (!sc->urb_int)
                goto err1;
-       }
 
        usb_fill_int_urb(sc->urb_int, sc->usb_dev,
                         usb_rcvintpipe(sc->usb_dev, UEA_INTR_PIPE),
@@ -2561,10 +2556,8 @@ static int uea_bind(struct usbatm_data *usbatm, struct usb_interface *intf,
        }
 
        sc = kzalloc(sizeof(struct uea_softc), GFP_KERNEL);
-       if (!sc) {
-               uea_err(usb, "uea_init: not enough memory !\n");
+       if (!sc)
                return -ENOMEM;
-       }
 
        sc->usb_dev = usb;
        usbatm->driver_data = sc;
index db322d9..4dec9df 100644 (file)
@@ -819,7 +819,6 @@ static int usbatm_atm_open(struct atm_vcc *vcc)
 
        new = kzalloc(sizeof(struct usbatm_vcc_data), GFP_KERNEL);
        if (!new) {
-               atm_err(instance, "%s: no memory for vcc_data!\n", __func__);
                ret = -ENOMEM;
                goto fail;
        }
@@ -1032,10 +1031,8 @@ int usbatm_usb_probe(struct usb_interface *intf, const struct usb_device_id *id,
 
        /* instance init */
        instance = kzalloc(sizeof(*instance) + sizeof(struct urb *) * (num_rcv_urbs + num_snd_urbs), GFP_KERNEL);
-       if (!instance) {
-               dev_err(dev, "%s: no memory for instance data!\n", __func__);
+       if (!instance)
                return -ENOMEM;
-       }
 
        /* public fields */
 
@@ -1141,7 +1138,6 @@ int usbatm_usb_probe(struct usb_interface *intf, const struct usb_device_id *id,
 
                urb = usb_alloc_urb(iso_packets, GFP_KERNEL);
                if (!urb) {
-                       dev_err(dev, "%s: no memory for urb %d!\n", __func__, i);
                        error = -ENOMEM;
                        goto fail_unbind;
                }
@@ -1151,7 +1147,6 @@ int usbatm_usb_probe(struct usb_interface *intf, const struct usb_device_id *id,
                /* zero the tx padding to avoid leaking information */
                buffer = kzalloc(channel->buf_size, GFP_KERNEL);
                if (!buffer) {
-                       dev_err(dev, "%s: no memory for buffer %d!\n", __func__, i);
                        error = -ENOMEM;
                        goto fail_unbind;
                }
@@ -1182,7 +1177,6 @@ int usbatm_usb_probe(struct usb_interface *intf, const struct usb_device_id *id,
        instance->cell_buf = kmalloc(instance->rx_channel.stride, GFP_KERNEL);
 
        if (!instance->cell_buf) {
-               dev_err(dev, "%s: no memory for cell buffer!\n", __func__);
                error = -ENOMEM;
                goto fail_unbind;
        }
index dedc33e..0991794 100644 (file)
@@ -140,6 +140,9 @@ static struct imx_usbmisc_data *usbmisc_get_init_data(struct device *dev)
        if (of_find_property(np, "disable-over-current", NULL))
                data->disable_oc = 1;
 
+       if (of_find_property(np, "over-current-active-high", NULL))
+               data->oc_polarity = 1;
+
        if (of_find_property(np, "external-vbus-divider", NULL))
                data->evdo = 1;
 
index 635717e..409aa5c 100644 (file)
@@ -17,6 +17,7 @@ struct imx_usbmisc_data {
        int index;
 
        unsigned int disable_oc:1; /* over current detect disabled */
+       unsigned int oc_polarity:1; /* over current polarity if oc enabled */
        unsigned int evdo:1; /* set external vbus divider option */
 };
 
index 053bac9..96ae695 100644 (file)
@@ -81,12 +81,15 @@ static int ehci_ci_reset(struct usb_hcd *hcd)
 {
        struct device *dev = hcd->self.controller;
        struct ci_hdrc *ci = dev_get_drvdata(dev);
+       struct ehci_hcd *ehci = hcd_to_ehci(hcd);
        int ret;
 
        ret = ehci_setup(hcd);
        if (ret)
                return ret;
 
+       ehci->need_io_watchdog = 0;
+
        ci_platform_configure(ci);
 
        return ret;
index b933568..661f43f 100644 (file)
@@ -59,7 +59,7 @@ ctrl_endpt_in_desc = {
  */
 static inline int hw_ep_bit(int num, int dir)
 {
-       return num + (dir ? 16 : 0);
+       return num + ((dir == TX) ? 16 : 0);
 }
 
 static inline int ep_to_bit(struct ci_hdrc *ci, int n)
@@ -121,9 +121,8 @@ static int hw_ep_flush(struct ci_hdrc *ci, int num, int dir)
  */
 static int hw_ep_disable(struct ci_hdrc *ci, int num, int dir)
 {
-       hw_ep_flush(ci, num, dir);
        hw_write(ci, OP_ENDPTCTRL + num,
-                dir ? ENDPTCTRL_TXE : ENDPTCTRL_RXE, 0);
+                (dir == TX) ? ENDPTCTRL_TXE : ENDPTCTRL_RXE, 0);
        return 0;
 }
 
@@ -139,7 +138,7 @@ static int hw_ep_enable(struct ci_hdrc *ci, int num, int dir, int type)
 {
        u32 mask, data;
 
-       if (dir) {
+       if (dir == TX) {
                mask  = ENDPTCTRL_TXT;  /* type    */
                data  = type << __ffs(mask);
 
@@ -171,7 +170,7 @@ static int hw_ep_enable(struct ci_hdrc *ci, int num, int dir, int type)
  */
 static int hw_ep_get_halt(struct ci_hdrc *ci, int num, int dir)
 {
-       u32 mask = dir ? ENDPTCTRL_TXS : ENDPTCTRL_RXS;
+       u32 mask = (dir == TX) ? ENDPTCTRL_TXS : ENDPTCTRL_RXS;
 
        return hw_read(ci, OP_ENDPTCTRL + num, mask) ? 1 : 0;
 }
@@ -188,6 +187,9 @@ static int hw_ep_prime(struct ci_hdrc *ci, int num, int dir, int is_ctrl)
 {
        int n = hw_ep_bit(num, dir);
 
+       /* Synchronize before ep prime */
+       wmb();
+
        if (is_ctrl && dir == RX && hw_read(ci, OP_ENDPTSETUPSTAT, BIT(num)))
                return -EAGAIN;
 
@@ -218,8 +220,8 @@ static int hw_ep_set_halt(struct ci_hdrc *ci, int num, int dir, int value)
 
        do {
                enum ci_hw_regs reg = OP_ENDPTCTRL + num;
-               u32 mask_xs = dir ? ENDPTCTRL_TXS : ENDPTCTRL_RXS;
-               u32 mask_xr = dir ? ENDPTCTRL_TXR : ENDPTCTRL_RXR;
+               u32 mask_xs = (dir == TX) ? ENDPTCTRL_TXS : ENDPTCTRL_RXS;
+               u32 mask_xr = (dir == TX) ? ENDPTCTRL_TXR : ENDPTCTRL_RXR;
 
                /* data toggle - reserved for EP0 but it's in ESS */
                hw_write(ci, reg, mask_xs|mask_xr,
@@ -348,8 +350,7 @@ static int add_td_to_list(struct ci_hw_ep *hwep, struct ci_hw_req *hwreq,
        if (node == NULL)
                return -ENOMEM;
 
-       node->ptr = dma_pool_zalloc(hwep->td_pool, GFP_ATOMIC,
-                                  &node->dma);
+       node->ptr = dma_pool_zalloc(hwep->td_pool, GFP_ATOMIC, &node->dma);
        if (node->ptr == NULL) {
                kfree(node);
                return -ENOMEM;
@@ -506,8 +507,6 @@ static int _hardware_enqueue(struct ci_hw_ep *hwep, struct ci_hw_req *hwreq)
                hwep->qh.ptr->cap |= mul << __ffs(QH_MULT);
        }
 
-       wmb();   /* synchronize before ep prime */
-
        ret = hw_ep_prime(ci, hwep->num, hwep->dir,
                           hwep->type == USB_ENDPOINT_XFER_CONTROL);
 done:
@@ -534,9 +533,6 @@ static int reprime_dtd(struct ci_hdrc *ci, struct ci_hw_ep *hwep,
        hwep->qh.ptr->td.token &=
                cpu_to_le32(~(TD_STATUS_HALTED | TD_STATUS_ACTIVE));
 
-       /* Synchronize before ep prime */
-       wmb();
-
        return hw_ep_prime(ci, hwep->num, hwep->dir,
                                hwep->type == USB_ENDPOINT_XFER_CONTROL);
 }
@@ -590,7 +586,7 @@ static int _hardware_dequeue(struct ci_hw_ep *hwep, struct ci_hw_req *hwreq)
                }
 
                if (remaining_length) {
-                       if (hwep->dir) {
+                       if (hwep->dir == TX) {
                                hwreq->req.status = -EPROTO;
                                break;
                        }
@@ -1051,9 +1047,9 @@ __acquires(ci->lock)
                        if (req.wLength != 0)
                                break;
                        num  = le16_to_cpu(req.wIndex);
-                       dir = num & USB_ENDPOINT_DIR_MASK;
+                       dir = (num & USB_ENDPOINT_DIR_MASK) ? TX : RX;
                        num &= USB_ENDPOINT_NUMBER_MASK;
-                       if (dir) /* TX */
+                       if (dir == TX)
                                num += ci->hw_ep_max / 2;
                        if (!ci->ci_hw_ep[num].wedge) {
                                spin_unlock(&ci->lock);
@@ -1103,9 +1099,9 @@ __acquires(ci->lock)
                        if (req.wLength != 0)
                                break;
                        num  = le16_to_cpu(req.wIndex);
-                       dir = num & USB_ENDPOINT_DIR_MASK;
+                       dir = (num & USB_ENDPOINT_DIR_MASK) ? TX : RX;
                        num &= USB_ENDPOINT_NUMBER_MASK;
-                       if (dir) /* TX */
+                       if (dir == TX)
                                num += ci->hw_ep_max / 2;
 
                        spin_unlock(&ci->lock);
@@ -1680,12 +1676,10 @@ static int init_eps(struct ci_hdrc *ci)
                        usb_ep_set_maxpacket_limit(&hwep->ep, (unsigned short)~0);
 
                        INIT_LIST_HEAD(&hwep->qh.queue);
-                       hwep->qh.ptr = dma_pool_alloc(ci->qh_pool, GFP_KERNEL,
-                                                    &hwep->qh.dma);
+                       hwep->qh.ptr = dma_pool_zalloc(ci->qh_pool, GFP_KERNEL,
+                                                      &hwep->qh.dma);
                        if (hwep->qh.ptr == NULL)
                                retval = -ENOMEM;
-                       else
-                               memset(hwep->qh.ptr, 0, sizeof(*hwep->qh.ptr));
 
                        /*
                         * set up shorthands for ep0 out and in endpoints,
@@ -1999,7 +1993,7 @@ int ci_hdrc_gadget_init(struct ci_hdrc *ci)
        if (!hw_read(ci, CAP_DCCPARAMS, DCCPARAMS_DC))
                return -ENXIO;
 
-       rdrv = devm_kzalloc(ci->dev, sizeof(struct ci_role_driver), GFP_KERNEL);
+       rdrv = devm_kzalloc(ci->dev, sizeof(*rdrv), GFP_KERNEL);
        if (!rdrv)
                return -ENOMEM;
 
index ab8b027..20d02a5 100644 (file)
@@ -56,6 +56,7 @@
 
 #define MX6_BM_NON_BURST_SETTING       BIT(1)
 #define MX6_BM_OVER_CUR_DIS            BIT(7)
+#define MX6_BM_OVER_CUR_POLARITY       BIT(8)
 #define MX6_BM_WAKEUP_ENABLE           BIT(10)
 #define MX6_BM_ID_WAKEUP               BIT(16)
 #define MX6_BM_VBUS_WAKEUP             BIT(17)
@@ -266,11 +267,14 @@ static int usbmisc_imx6q_init(struct imx_usbmisc_data *data)
 
        spin_lock_irqsave(&usbmisc->lock, flags);
 
+       reg = readl(usbmisc->base + data->index * 4);
        if (data->disable_oc) {
-               reg = readl(usbmisc->base + data->index * 4);
-               writel(reg | MX6_BM_OVER_CUR_DIS,
-                       usbmisc->base + data->index * 4);
+               reg |= MX6_BM_OVER_CUR_DIS;
+       } else if (data->oc_polarity == 1) {
+               /* High active */
+               reg &= ~(MX6_BM_OVER_CUR_DIS | MX6_BM_OVER_CUR_POLARITY);
        }
+       writel(reg, usbmisc->base + data->index * 4);
 
        /* SoC non-burst setting */
        reg = readl(usbmisc->base + data->index * 4);
@@ -365,10 +369,14 @@ static int usbmisc_imx7d_init(struct imx_usbmisc_data *data)
                return -EINVAL;
 
        spin_lock_irqsave(&usbmisc->lock, flags);
+       reg = readl(usbmisc->base);
        if (data->disable_oc) {
-               reg = readl(usbmisc->base);
-               writel(reg | MX6_BM_OVER_CUR_DIS, usbmisc->base);
+               reg |= MX6_BM_OVER_CUR_DIS;
+       } else if (data->oc_polarity == 1) {
+               /* High active */
+               reg &= ~(MX6_BM_OVER_CUR_DIS | MX6_BM_OVER_CUR_POLARITY);
        }
+       writel(reg, usbmisc->base);
 
        reg = readl(usbmisc->base + MX7D_USBNC_USB_CTRL2);
        reg &= ~MX7D_USB_VBUS_WAKEUP_SOURCE_MASK;
@@ -492,6 +500,10 @@ static const struct of_device_id usbmisc_imx_dt_ids[] = {
                .compatible = "fsl,imx6ul-usbmisc",
                .data = &imx6sx_usbmisc_ops,
        },
+       {
+               .compatible = "fsl,imx7d-usbmisc",
+               .data = &imx7d_usbmisc_ops,
+       },
        { /* sentinel */ }
 };
 MODULE_DEVICE_TABLE(of, usbmisc_imx_dt_ids);
index 0f3f62e..78f0f85 100644 (file)
@@ -368,17 +368,17 @@ static int acm_submit_read_urb(struct acm *acm, int index, gfp_t mem_flags)
        if (!test_and_clear_bit(index, &acm->read_urbs_free))
                return 0;
 
-       dev_vdbg(&acm->data->dev, "%s - urb %d\n", __func__, index);
-
        res = usb_submit_urb(acm->read_urbs[index], mem_flags);
        if (res) {
                if (res != -EPERM) {
                        dev_err(&acm->data->dev,
-                                       "%s - usb_submit_urb failed: %d\n",
-                                       __func__, res);
+                                       "urb %d failed submission with %d\n",
+                                       index, res);
                }
                set_bit(index, &acm->read_urbs_free);
                return res;
+       } else {
+               dev_vdbg(&acm->data->dev, "submitted urb %d\n", index);
        }
 
        return 0;
@@ -415,8 +415,9 @@ static void acm_read_bulk_callback(struct urb *urb)
        unsigned long flags;
        int status = urb->status;
 
-       dev_vdbg(&acm->data->dev, "%s - urb %d, len %d\n", __func__,
-                                       rb->index, urb->actual_length);
+       dev_vdbg(&acm->data->dev, "got urb %d, len %d, status %d\n",
+                                       rb->index, urb->actual_length,
+                                       status);
 
        if (!acm->dev) {
                set_bit(rb->index, &acm->read_urbs_free);
@@ -426,8 +427,6 @@ static void acm_read_bulk_callback(struct urb *urb)
 
        if (status) {
                set_bit(rb->index, &acm->read_urbs_free);
-               dev_dbg(&acm->data->dev, "%s - non-zero urb status: %d\n",
-                                                       __func__, status);
                if ((status != -ENOENT) || (urb->actual_length == 0))
                        return;
        }
@@ -462,8 +461,7 @@ static void acm_write_bulk(struct urb *urb)
        int status = urb->status;
 
        if (status || (urb->actual_length != urb->transfer_buffer_length))
-               dev_vdbg(&acm->data->dev, "%s - len %d/%d, status %d\n",
-                       __func__,
+               dev_vdbg(&acm->data->dev, "wrote len %d/%d, status %d\n",
                        urb->actual_length,
                        urb->transfer_buffer_length,
                        status);
@@ -478,8 +476,6 @@ static void acm_softint(struct work_struct *work)
 {
        struct acm *acm = container_of(work, struct acm, work);
 
-       dev_vdbg(&acm->data->dev, "%s\n", __func__);
-
        tty_port_tty_wakeup(&acm->port);
 }
 
@@ -492,8 +488,6 @@ static int acm_tty_install(struct tty_driver *driver, struct tty_struct *tty)
        struct acm *acm;
        int retval;
 
-       dev_dbg(tty->dev, "%s\n", __func__);
-
        acm = acm_get_by_minor(tty->index);
        if (!acm)
                return -ENODEV;
@@ -515,8 +509,6 @@ static int acm_tty_open(struct tty_struct *tty, struct file *filp)
 {
        struct acm *acm = tty->driver_data;
 
-       dev_dbg(tty->dev, "%s\n", __func__);
-
        return tty_port_open(&acm->port, tty, filp);
 }
 
@@ -545,8 +537,6 @@ static int acm_port_activate(struct tty_port *port, struct tty_struct *tty)
        int retval = -ENODEV;
        int i;
 
-       dev_dbg(&acm->control->dev, "%s\n", __func__);
-
        mutex_lock(&acm->mutex);
        if (acm->disconnected)
                goto disconnected;
@@ -607,8 +597,6 @@ static void acm_port_destruct(struct tty_port *port)
 {
        struct acm *acm = container_of(port, struct acm, port);
 
-       dev_dbg(&acm->control->dev, "%s\n", __func__);
-
        acm_release_minor(acm);
        usb_put_intf(acm->control);
        kfree(acm->country_codes);
@@ -622,8 +610,6 @@ static void acm_port_shutdown(struct tty_port *port)
        struct acm_wb *wb;
        int i;
 
-       dev_dbg(&acm->control->dev, "%s\n", __func__);
-
        /*
         * Need to grab write_lock to prevent race with resume, but no need to
         * hold it due to the tty-port initialised flag.
@@ -654,21 +640,21 @@ static void acm_port_shutdown(struct tty_port *port)
 static void acm_tty_cleanup(struct tty_struct *tty)
 {
        struct acm *acm = tty->driver_data;
-       dev_dbg(&acm->control->dev, "%s\n", __func__);
+
        tty_port_put(&acm->port);
 }
 
 static void acm_tty_hangup(struct tty_struct *tty)
 {
        struct acm *acm = tty->driver_data;
-       dev_dbg(&acm->control->dev, "%s\n", __func__);
+
        tty_port_hangup(&acm->port);
 }
 
 static void acm_tty_close(struct tty_struct *tty, struct file *filp)
 {
        struct acm *acm = tty->driver_data;
-       dev_dbg(&acm->control->dev, "%s\n", __func__);
+
        tty_port_close(&acm->port, tty, filp);
 }
 
@@ -684,7 +670,7 @@ static int acm_tty_write(struct tty_struct *tty,
        if (!count)
                return 0;
 
-       dev_vdbg(&acm->data->dev, "%s - count %d\n", __func__, count);
+       dev_vdbg(&acm->data->dev, "%d bytes from tty layer\n", count);
 
        spin_lock_irqsave(&acm->write_lock, flags);
        wbn = acm_wb_alloc(acm);
@@ -701,7 +687,7 @@ static int acm_tty_write(struct tty_struct *tty,
        }
 
        count = (count > acm->writesize) ? acm->writesize : count;
-       dev_vdbg(&acm->data->dev, "%s - write %d\n", __func__, count);
+       dev_vdbg(&acm->data->dev, "writing %d bytes\n", count);
        memcpy(wb->buf, buf, count);
        wb->len = count;
 
@@ -1193,6 +1179,9 @@ static int acm_probe(struct usb_interface *intf,
                return -EINVAL;
        }
 
+       if (!intf->cur_altsetting)
+               return -EINVAL;
+
        if (!buflen) {
                if (intf->cur_altsetting->endpoint &&
                                intf->cur_altsetting->endpoint->extralen &&
@@ -1246,6 +1235,8 @@ static int acm_probe(struct usb_interface *intf,
                dev_dbg(&intf->dev, "no interfaces\n");
                return -ENODEV;
        }
+       if (!data_interface->cur_altsetting || !control_interface->cur_altsetting)
+               return -ENODEV;
 
        if (data_intf_num != call_intf_num)
                dev_dbg(&intf->dev, "Separate call control interface. That is not fully supported.\n");
@@ -1533,8 +1524,6 @@ static void stop_data_traffic(struct acm *acm)
 {
        int i;
 
-       dev_dbg(&acm->control->dev, "%s\n", __func__);
-
        usb_kill_urb(acm->ctrlurb);
        for (i = 0; i < ACM_NW; i++)
                usb_kill_urb(acm->wb[i].urb);
@@ -1551,8 +1540,6 @@ static void acm_disconnect(struct usb_interface *intf)
        struct tty_struct *tty;
        int i;
 
-       dev_dbg(&intf->dev, "%s\n", __func__);
-
        /* sibling interface is already cleaning up */
        if (!acm)
                return;
index 337948c..0a63695 100644 (file)
@@ -58,6 +58,7 @@ MODULE_DEVICE_TABLE (usb, wdm_ids);
 #define WDM_SUSPENDING         8
 #define WDM_RESETTING          9
 #define WDM_OVERFLOW           10
+#define WDM_DRAIN_ON_OPEN      11
 
 #define WDM_MAX                        16
 
@@ -154,6 +155,9 @@ static void wdm_out_callback(struct urb *urb)
        wake_up(&desc->wait);
 }
 
+/* forward declaration */
+static int service_outstanding_interrupt(struct wdm_device *desc);
+
 static void wdm_in_callback(struct urb *urb)
 {
        struct wdm_device *desc = urb->context;
@@ -167,18 +171,18 @@ static void wdm_in_callback(struct urb *urb)
                switch (status) {
                case -ENOENT:
                        dev_dbg(&desc->intf->dev,
-                               "nonzero urb status received: -ENOENT");
+                               "nonzero urb status received: -ENOENT\n");
                        goto skip_error;
                case -ECONNRESET:
                        dev_dbg(&desc->intf->dev,
-                               "nonzero urb status received: -ECONNRESET");
+                               "nonzero urb status received: -ECONNRESET\n");
                        goto skip_error;
                case -ESHUTDOWN:
                        dev_dbg(&desc->intf->dev,
-                               "nonzero urb status received: -ESHUTDOWN");
+                               "nonzero urb status received: -ESHUTDOWN\n");
                        goto skip_error;
                case -EPIPE:
-                       dev_err(&desc->intf->dev,
+                       dev_dbg(&desc->intf->dev,
                                "nonzero urb status received: -EPIPE\n");
                        break;
                default:
@@ -188,7 +192,13 @@ static void wdm_in_callback(struct urb *urb)
                }
        }
 
-       desc->rerr = status;
+       /*
+        * only set a new error if there is no previous error.
+        * Errors are only cleared during read/open
+        */
+       if (desc->rerr  == 0)
+               desc->rerr = status;
+
        if (length + desc->length > desc->wMaxCommand) {
                /* The buffer would overflow */
                set_bit(WDM_OVERFLOW, &desc->flags);
@@ -200,10 +210,40 @@ static void wdm_in_callback(struct urb *urb)
                        desc->reslength = length;
                }
        }
+
+       /*
+        * Handling devices with the WDM_DRAIN_ON_OPEN flag set:
+        * If desc->resp_count is unset, then the urb was submitted
+        * without a prior notification.  If the device returned any
+        * data, then this implies that it had messages queued without
+        * notifying us.  Continue reading until that queue is flushed.
+        */
+       if (!desc->resp_count) {
+               if (!length) {
+                       /* do not propagate the expected -EPIPE */
+                       desc->rerr = 0;
+                       goto unlock;
+               }
+               dev_dbg(&desc->intf->dev, "got %d bytes without notification\n", length);
+               set_bit(WDM_RESPONDING, &desc->flags);
+               usb_submit_urb(desc->response, GFP_ATOMIC);
+       }
+
 skip_error:
+       set_bit(WDM_READ, &desc->flags);
        wake_up(&desc->wait);
 
-       set_bit(WDM_READ, &desc->flags);
+       if (desc->rerr) {
+               /*
+                * Since there was an error, userspace may decide to not read
+                * any data after poll'ing.
+                * We should respond to further attempts from the device to send
+                * data, so that we can get unstuck.
+                */
+               service_outstanding_interrupt(desc);
+       }
+
+unlock:
        spin_unlock(&desc->iuspin);
 }
 
@@ -244,18 +284,18 @@ static void wdm_int_callback(struct urb *urb)
        switch (dr->bNotificationType) {
        case USB_CDC_NOTIFY_RESPONSE_AVAILABLE:
                dev_dbg(&desc->intf->dev,
-                       "NOTIFY_RESPONSE_AVAILABLE received: index %d len %d",
+                       "NOTIFY_RESPONSE_AVAILABLE received: index %d len %d\n",
                        le16_to_cpu(dr->wIndex), le16_to_cpu(dr->wLength));
                break;
 
        case USB_CDC_NOTIFY_NETWORK_CONNECTION:
 
                dev_dbg(&desc->intf->dev,
-                       "NOTIFY_NETWORK_CONNECTION %s network",
+                       "NOTIFY_NETWORK_CONNECTION %s network\n",
                        dr->wValue ? "connected to" : "disconnected from");
                goto exit;
        case USB_CDC_NOTIFY_SPEED_CHANGE:
-               dev_dbg(&desc->intf->dev, "SPEED_CHANGE received (len %u)",
+               dev_dbg(&desc->intf->dev, "SPEED_CHANGE received (len %u)\n",
                        urb->actual_length);
                goto exit;
        default:
@@ -274,8 +314,7 @@ static void wdm_int_callback(struct urb *urb)
                && !test_bit(WDM_DISCONNECTING, &desc->flags)
                && !test_bit(WDM_SUSPENDING, &desc->flags)) {
                rv = usb_submit_urb(desc->response, GFP_ATOMIC);
-               dev_dbg(&desc->intf->dev, "%s: usb_submit_urb %d",
-                       __func__, rv);
+               dev_dbg(&desc->intf->dev, "submit response URB %d\n", rv);
        }
        spin_unlock(&desc->iuspin);
        if (rv < 0) {
@@ -417,7 +456,7 @@ static ssize_t wdm_write
                rv = usb_translate_errors(rv);
                goto out_free_mem_pm;
        } else {
-               dev_dbg(&desc->intf->dev, "Tx URB has been submitted index=%d",
+               dev_dbg(&desc->intf->dev, "Tx URB has been submitted index=%d\n",
                        le16_to_cpu(req->wIndex));
        }
 
@@ -436,17 +475,14 @@ out_free_mem:
 }
 
 /*
- * clear WDM_READ flag and possibly submit the read urb if resp_count
- * is non-zero.
+ * Submit the read urb if resp_count is non-zero.
  *
  * Called with desc->iuspin locked
  */
-static int clear_wdm_read_flag(struct wdm_device *desc)
+static int service_outstanding_interrupt(struct wdm_device *desc)
 {
        int rv = 0;
 
-       clear_bit(WDM_READ, &desc->flags);
-
        /* submit read urb only if the device is waiting for it */
        if (!desc->resp_count || !--desc->resp_count)
                goto out;
@@ -537,8 +573,9 @@ retry:
                }
 
                if (!desc->reslength) { /* zero length read */
-                       dev_dbg(&desc->intf->dev, "%s: zero length - clearing WDM_READ\n", __func__);
-                       rv = clear_wdm_read_flag(desc);
+                       dev_dbg(&desc->intf->dev, "zero length - clearing WDM_READ\n");
+                       clear_bit(WDM_READ, &desc->flags);
+                       rv = service_outstanding_interrupt(desc);
                        spin_unlock_irq(&desc->iuspin);
                        if (rv < 0)
                                goto err;
@@ -563,8 +600,10 @@ retry:
 
        desc->length -= cntr;
        /* in case we had outstanding data */
-       if (!desc->length)
-               clear_wdm_read_flag(desc);
+       if (!desc->length) {
+               clear_bit(WDM_READ, &desc->flags);
+               service_outstanding_interrupt(desc);
+       }
        spin_unlock_irq(&desc->iuspin);
        rv = cntr;
 
@@ -647,6 +686,17 @@ static int wdm_open(struct inode *inode, struct file *file)
                        dev_err(&desc->intf->dev,
                                "Error submitting int urb - %d\n", rv);
                        rv = usb_translate_errors(rv);
+               } else if (test_bit(WDM_DRAIN_ON_OPEN, &desc->flags)) {
+                       /*
+                        * Some devices keep pending messages queued
+                        * without resending notifications.  We must
+                        * flush the message queue before we can
+                        * assume a one-to-one relationship between
+                        * notifications and messages in the queue
+                        */
+                       dev_dbg(&desc->intf->dev, "draining queued data\n");
+                       set_bit(WDM_RESPONDING, &desc->flags);
+                       rv = usb_submit_urb(desc->response, GFP_KERNEL);
                }
        } else {
                rv = 0;
@@ -673,7 +723,7 @@ static int wdm_release(struct inode *inode, struct file *file)
 
        if (!desc->count) {
                if (!test_bit(WDM_DISCONNECTING, &desc->flags)) {
-                       dev_dbg(&desc->intf->dev, "wdm_release: cleanup");
+                       dev_dbg(&desc->intf->dev, "wdm_release: cleanup\n");
                        kill_urbs(desc);
                        spin_lock_irq(&desc->iuspin);
                        desc->resp_count = 0;
@@ -753,7 +803,8 @@ static void wdm_rxwork(struct work_struct *work)
 /* --- hotplug --- */
 
 static int wdm_create(struct usb_interface *intf, struct usb_endpoint_descriptor *ep,
-               u16 bufsize, int (*manage_power)(struct usb_interface *, int))
+               u16 bufsize, int (*manage_power)(struct usb_interface *, int),
+               bool drain_on_open)
 {
        int rv = -ENOMEM;
        struct wdm_device *desc;
@@ -840,6 +891,68 @@ static int wdm_create(struct usb_interface *intf, struct usb_endpoint_descriptor
 
        desc->manage_power = manage_power;
 
+       /*
+        * "drain_on_open" enables a hack to work around a firmware
+        * issue observed on network functions, in particular MBIM
+        * functions.
+        *
+        * Quoting section 7 of the CDC-WMC r1.1 specification:
+        *
+        *  "The firmware shall interpret GetEncapsulatedResponse as a
+        *   request to read response bytes. The firmware shall send
+        *   the next wLength bytes from the response. The firmware
+        *   shall allow the host to retrieve data using any number of
+        *   GetEncapsulatedResponse requests. The firmware shall
+        *   return a zero- length reply if there are no data bytes
+        *   available.
+        *
+        *   The firmware shall send ResponseAvailable notifications
+        *   periodically, using any appropriate algorithm, to inform
+        *   the host that there is data available in the reply
+        *   buffer. The firmware is allowed to send ResponseAvailable
+        *   notifications even if there is no data available, but
+        *   this will obviously reduce overall performance."
+        *
+        * These requirements, although they make equally sense, are
+        * often not implemented by network functions. Some firmwares
+        * will queue data indefinitely, without ever resending a
+        * notification. The result is that the driver and firmware
+        * loses "syncronization" if the driver ever fails to respond
+        * to a single notification, something which easily can happen
+        * on release(). When this happens, the driver will appear to
+        * never receive notifications for the most current data. Each
+        * notification will only cause a single read, which returns
+        * the oldest data in the firmware's queue.
+        *
+        * The "drain_on_open" hack resolves the situation by draining
+        * data from the firmware until none is returned, without a
+        * prior notification.
+        *
+        * This will inevitably race with the firmware, risking that
+        * we read data from the device before handling the associated
+        * notification. To make things worse, some of the devices
+        * needing the hack do not implement the "return zero if no
+        * data is available" requirement either. Instead they return
+        * an error on the subsequent read in this case.  This means
+        * that "winning" the race can cause an unexpected EIO to
+        * userspace.
+        *
+        * "winning" the race is more likely on resume() than on
+        * open(), and the unexpected error is more harmful in the
+        * middle of an open session. The hack is therefore only
+        * applied on open(), and not on resume() where it logically
+        * would be equally necessary. So we define open() as the only
+        * driver <-> device "syncronization point".  Should we happen
+        * to lose a notification after open(), then syncronization
+        * will be lost until release()
+        *
+        * The hack should not be enabled for CDC WDM devices
+        * conforming to the CDC-WMC r1.1 specification.  This is
+        * ensured by setting drain_on_open to false in wdm_probe().
+        */
+       if (drain_on_open)
+               set_bit(WDM_DRAIN_ON_OPEN, &desc->flags);
+
        spin_lock(&wdm_device_list_lock);
        list_add(&desc->device_list, &wdm_device_list);
        spin_unlock(&wdm_device_list_lock);
@@ -893,7 +1006,7 @@ static int wdm_probe(struct usb_interface *intf, const struct usb_device_id *id)
                goto err;
        ep = &iface->endpoint[0].desc;
 
-       rv = wdm_create(intf, ep, maxcom, &wdm_manage_power);
+       rv = wdm_create(intf, ep, maxcom, &wdm_manage_power, false);
 
 err:
        return rv;
@@ -925,7 +1038,7 @@ struct usb_driver *usb_cdc_wdm_register(struct usb_interface *intf,
 {
        int rv = -EINVAL;
 
-       rv = wdm_create(intf, ep, bufsize, manage_power);
+       rv = wdm_create(intf, ep, bufsize, manage_power, true);
        if (rv < 0)
                goto err;
 
@@ -967,7 +1080,7 @@ static void wdm_disconnect(struct usb_interface *intf)
        if (!desc->count)
                cleanup(desc);
        else
-               dev_dbg(&intf->dev, "%s: %d open files - postponing cleanup\n", __func__, desc->count);
+               dev_dbg(&intf->dev, "%d open files - postponing cleanup\n", desc->count);
        mutex_unlock(&wdm_mutex);
 }
 
index 917a55c..a6c1fae 100644 (file)
@@ -141,6 +141,7 @@ static void usbtmc_delete(struct kref *kref)
        struct usbtmc_device_data *data = to_usbtmc_data(kref);
 
        usb_put_dev(data->usb_dev);
+       kfree(data);
 }
 
 static int usbtmc_open(struct inode *inode, struct file *filp)
@@ -1379,7 +1380,7 @@ static int usbtmc_probe(struct usb_interface *intf,
 
        dev_dbg(&intf->dev, "%s called\n", __func__);
 
-       data = devm_kzalloc(&intf->dev, sizeof(*data), GFP_KERNEL);
+       data = kmalloc(sizeof(*data), GFP_KERNEL);
        if (!data)
                return -ENOMEM;
 
@@ -1467,10 +1468,8 @@ static int usbtmc_probe(struct usb_interface *intf,
        if (data->iin_ep_present) {
                /* allocate int urb */
                data->iin_urb = usb_alloc_urb(0, GFP_KERNEL);
-               if (!data->iin_urb) {
-                       dev_err(&intf->dev, "Failed to allocate int urb\n");
+               if (!data->iin_urb)
                        goto error_register;
-               }
 
                /* will reference data in int urb */
                kref_get(&data->kref);
@@ -1478,10 +1477,8 @@ static int usbtmc_probe(struct usb_interface *intf,
                /* allocate buffer for interrupt in */
                data->iin_buffer = kmalloc(data->iin_wMaxPacketSize,
                                        GFP_KERNEL);
-               if (!data->iin_buffer) {
-                       dev_err(&intf->dev, "Failed to allocate int buf\n");
+               if (!data->iin_buffer)
                        goto error_register;
-               }
 
                /* fill interrupt urb */
                usb_fill_int_urb(data->iin_urb, data->usb_dev,
index 01c0c04..8b31770 100644 (file)
 
 int ulpi_read(struct ulpi *ulpi, u8 addr)
 {
-       return ulpi->ops->read(ulpi->ops, addr);
+       return ulpi->ops->read(ulpi->dev.parent, addr);
 }
 EXPORT_SYMBOL_GPL(ulpi_read);
 
 int ulpi_write(struct ulpi *ulpi, u8 addr, u8 val)
 {
-       return ulpi->ops->write(ulpi->ops, addr, val);
+       return ulpi->ops->write(ulpi->dev.parent, addr, val);
 }
 EXPORT_SYMBOL_GPL(ulpi_write);
 
@@ -127,16 +127,17 @@ static struct device_type ulpi_dev_type = {
  *
  * Registers a driver with the ULPI bus.
  */
-int ulpi_register_driver(struct ulpi_driver *drv)
+int __ulpi_register_driver(struct ulpi_driver *drv, struct module *module)
 {
        if (!drv->probe)
                return -EINVAL;
 
+       drv->driver.owner = module;
        drv->driver.bus = &ulpi_bus;
 
        return driver_register(&drv->driver);
 }
-EXPORT_SYMBOL_GPL(ulpi_register_driver);
+EXPORT_SYMBOL_GPL(__ulpi_register_driver);
 
 /**
  * ulpi_unregister_driver - unregister a driver with the ULPI bus
@@ -156,6 +157,8 @@ static int ulpi_register(struct device *dev, struct ulpi *ulpi)
 {
        int ret;
 
+       ulpi->dev.parent = dev; /* needed early for ops */
+
        /* Test the interface */
        ret = ulpi_write(ulpi, ULPI_SCRATCH, 0xaa);
        if (ret < 0)
@@ -174,7 +177,6 @@ static int ulpi_register(struct device *dev, struct ulpi *ulpi)
        ulpi->id.product = ulpi_read(ulpi, ULPI_PRODUCT_ID_LOW);
        ulpi->id.product |= ulpi_read(ulpi, ULPI_PRODUCT_ID_HIGH) << 8;
 
-       ulpi->dev.parent = dev;
        ulpi->dev.bus = &ulpi_bus;
        ulpi->dev.type = &ulpi_dev_type;
        dev_set_name(&ulpi->dev, "%s.ulpi", dev_name(dev));
@@ -201,7 +203,8 @@ static int ulpi_register(struct device *dev, struct ulpi *ulpi)
  * Allocates and registers a ULPI device and an interface for it. Called from
  * the USB controller that provides the ULPI interface.
  */
-struct ulpi *ulpi_register_interface(struct device *dev, struct ulpi_ops *ops)
+struct ulpi *ulpi_register_interface(struct device *dev,
+                                    const struct ulpi_ops *ops)
 {
        struct ulpi *ulpi;
        int ret;
@@ -211,7 +214,6 @@ struct ulpi *ulpi_register_interface(struct device *dev, struct ulpi_ops *ops)
                return ERR_PTR(-ENOMEM);
 
        ulpi->ops = ops;
-       ops->dev = dev;
 
        ret = ulpi_register(dev, ulpi);
        if (ret) {
index dd28010..0e5a889 100644 (file)
@@ -83,23 +83,10 @@ config USB_OTG_FSM
          Implements OTG Finite State Machine as specified in On-The-Go
          and Embedded Host Supplement to the USB Revision 2.0 Specification.
 
-config USB_ULPI_BUS
-       tristate "USB ULPI PHY interface support"
-       depends on USB_SUPPORT
+config USB_LEDS_TRIGGER_USBPORT
+       tristate "USB port LED trigger"
+       depends on USB && LEDS_TRIGGERS
        help
-         UTMI+ Low Pin Interface (ULPI) is specification for a commonly used
-         USB 2.0 PHY interface. The ULPI specification defines a standard set
-         of registers that can be used to detect the vendor and product which
-         allows ULPI to be handled as a bus. This module is the driver for that
-         bus.
-
-         The ULPI interfaces (the buses) are registered by the drivers for USB
-         controllers which support ULPI register access and have ULPI PHY
-         attached to them. The ULPI PHY drivers themselves are normal PHY
-         drivers.
-
-         ULPI PHYs provide often functions such as ADP sensing/probing (OTG
-         protocol) and USB charger detection.
-
-         To compile this driver as a module, choose M here: the module will
-         be called ulpi.
+         This driver allows LEDs to be controlled by USB events. Enabling this
+         trigger allows specifying list of USB ports that should turn on LED
+         when some USB device gets connected.
index 9780877..b99b871 100644 (file)
@@ -5,9 +5,12 @@
 usbcore-y := usb.o hub.o hcd.o urb.o message.o driver.o
 usbcore-y += config.o file.o buffer.o sysfs.o endpoint.o
 usbcore-y += devio.o notify.o generic.o quirks.o devices.o
-usbcore-y += port.o of.o
+usbcore-y += port.o
 
+usbcore-$(CONFIG_OF)           += of.o
 usbcore-$(CONFIG_PCI)          += hcd-pci.o
 usbcore-$(CONFIG_ACPI)         += usb-acpi.o
 
 obj-$(CONFIG_USB)              += usbcore.o
+
+obj-$(CONFIG_USB_LEDS_TRIGGER_USBPORT) += ledtrig-usbport.o
index d2e3f65..479e223 100644 (file)
@@ -46,6 +46,7 @@
 #include <linux/usb.h>
 #include <linux/usb/hcd.h>
 #include <linux/usb/phy.h>
+#include <linux/usb/otg.h>
 
 #include "usb.h"
 
@@ -2517,10 +2518,8 @@ struct usb_hcd *usb_create_shared_hcd(const struct hc_driver *driver,
        struct usb_hcd *hcd;
 
        hcd = kzalloc(sizeof(*hcd) + driver->hcd_priv_size, GFP_KERNEL);
-       if (!hcd) {
-               dev_dbg (dev, "hcd alloc failed\n");
+       if (!hcd)
                return NULL;
-       }
        if (primary_hcd == NULL) {
                hcd->address0_mutex = kmalloc(sizeof(*hcd->address0_mutex),
                                GFP_KERNEL);
@@ -3033,7 +3032,7 @@ EXPORT_SYMBOL_GPL(usb_hcd_platform_shutdown);
 
 /*-------------------------------------------------------------------------*/
 
-#if defined(CONFIG_USB_MON) || defined(CONFIG_USB_MON_MODULE)
+#if IS_ENABLED(CONFIG_USB_MON)
 
 const struct usb_mon_operations *mon_ops;
 
index 1d5fc32..cbb1467 100644 (file)
@@ -1823,10 +1823,8 @@ descriptor_error:
        dev_info(&intf->dev, "USB hub found\n");
 
        hub = kzalloc(sizeof(*hub), GFP_KERNEL);
-       if (!hub) {
-               dev_dbg(&intf->dev, "couldn't kmalloc hub struct\n");
+       if (!hub)
                return -ENOMEM;
-       }
 
        kref_init(&hub->kref);
        hub->intfdev = &intf->dev;
@@ -3106,7 +3104,7 @@ static int usb_disable_remote_wakeup(struct usb_device *udev)
                                USB_CTRL_SET_TIMEOUT);
        else
                return usb_control_msg(udev, usb_sndctrlpipe(udev, 0),
-                               USB_REQ_CLEAR_FEATURE, USB_RECIP_INTERFACE,
+                               USB_REQ_SET_FEATURE, USB_RECIP_INTERFACE,
                                USB_INTRF_FUNC_SUSPEND, 0, NULL, 0,
                                USB_CTRL_SET_TIMEOUT);
 }
@@ -5337,11 +5335,10 @@ static int descriptors_changed(struct usb_device *udev,
        }
 
        buf = kmalloc(len, GFP_NOIO);
-       if (buf == NULL) {
-               dev_err(&udev->dev, "no mem to re-read configs after reset\n");
+       if (!buf)
                /* assume the worst */
                return 1;
-       }
+
        for (index = 0; index < udev->descriptor.bNumConfigurations; index++) {
                old_length = le16_to_cpu(udev->config[index].desc.wTotalLength);
                length = usb_get_descriptor(udev, USB_DT_CONFIG, index, buf,
diff --git a/drivers/usb/core/ledtrig-usbport.c b/drivers/usb/core/ledtrig-usbport.c
new file mode 100644 (file)
index 0000000..3ed5162
--- /dev/null
@@ -0,0 +1,314 @@
+/*
+ * USB port LED trigger
+ *
+ * Copyright (C) 2016 RafaÅ‚ MiÅ‚ecki <rafal@milecki.pl>
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License version 2 as
+ * published by the Free Software Foundation.
+ */
+
+#include <linux/device.h>
+#include <linux/leds.h>
+#include <linux/module.h>
+#include <linux/slab.h>
+#include <linux/usb.h>
+
+struct usbport_trig_data {
+       struct led_classdev *led_cdev;
+       struct list_head ports;
+       struct notifier_block nb;
+       int count; /* Amount of connected matching devices */
+};
+
+struct usbport_trig_port {
+       struct usbport_trig_data *data;
+       struct usb_device *hub;
+       int portnum;
+       char *port_name;
+       bool observed;
+       struct device_attribute attr;
+       struct list_head list;
+};
+
+/***************************************
+ * Helpers
+ ***************************************/
+
+/**
+ * usbport_trig_usb_dev_observed - Check if dev is connected to observed port
+ */
+static bool usbport_trig_usb_dev_observed(struct usbport_trig_data *usbport_data,
+                                         struct usb_device *usb_dev)
+{
+       struct usbport_trig_port *port;
+
+       if (!usb_dev->parent)
+               return false;
+
+       list_for_each_entry(port, &usbport_data->ports, list) {
+               if (usb_dev->parent == port->hub &&
+                   usb_dev->portnum == port->portnum)
+                       return port->observed;
+       }
+
+       return false;
+}
+
+static int usbport_trig_usb_dev_check(struct usb_device *usb_dev, void *data)
+{
+       struct usbport_trig_data *usbport_data = data;
+
+       if (usbport_trig_usb_dev_observed(usbport_data, usb_dev))
+               usbport_data->count++;
+
+       return 0;
+}
+
+/**
+ * usbport_trig_update_count - Recalculate amount of connected matching devices
+ */
+static void usbport_trig_update_count(struct usbport_trig_data *usbport_data)
+{
+       struct led_classdev *led_cdev = usbport_data->led_cdev;
+
+       usbport_data->count = 0;
+       usb_for_each_dev(usbport_data, usbport_trig_usb_dev_check);
+       led_cdev->brightness_set(led_cdev,
+                                usbport_data->count ? LED_FULL : LED_OFF);
+}
+
+/***************************************
+ * Device attr
+ ***************************************/
+
+static ssize_t usbport_trig_port_show(struct device *dev,
+                                     struct device_attribute *attr, char *buf)
+{
+       struct usbport_trig_port *port = container_of(attr,
+                                                     struct usbport_trig_port,
+                                                     attr);
+
+       return sprintf(buf, "%d\n", port->observed) + 1;
+}
+
+static ssize_t usbport_trig_port_store(struct device *dev,
+                                      struct device_attribute *attr,
+                                      const char *buf, size_t size)
+{
+       struct usbport_trig_port *port = container_of(attr,
+                                                     struct usbport_trig_port,
+                                                     attr);
+
+       if (!strcmp(buf, "0") || !strcmp(buf, "0\n"))
+               port->observed = 0;
+       else if (!strcmp(buf, "1") || !strcmp(buf, "1\n"))
+               port->observed = 1;
+       else
+               return -EINVAL;
+
+       usbport_trig_update_count(port->data);
+
+       return size;
+}
+
+static struct attribute *ports_attrs[] = {
+       NULL,
+};
+static const struct attribute_group ports_group = {
+       .name = "ports",
+       .attrs = ports_attrs,
+};
+
+/***************************************
+ * Adding & removing ports
+ ***************************************/
+
+static int usbport_trig_add_port(struct usbport_trig_data *usbport_data,
+                                struct usb_device *usb_dev,
+                                const char *hub_name, int portnum)
+{
+       struct led_classdev *led_cdev = usbport_data->led_cdev;
+       struct usbport_trig_port *port;
+       size_t len;
+       int err;
+
+       port = kzalloc(sizeof(*port), GFP_KERNEL);
+       if (!port) {
+               err = -ENOMEM;
+               goto err_out;
+       }
+
+       port->data = usbport_data;
+       port->hub = usb_dev;
+       port->portnum = portnum;
+
+       len = strlen(hub_name) + 8;
+       port->port_name = kzalloc(len, GFP_KERNEL);
+       if (!port->port_name) {
+               err = -ENOMEM;
+               goto err_free_port;
+       }
+       snprintf(port->port_name, len, "%s-port%d", hub_name, portnum);
+
+       port->attr.attr.name = port->port_name;
+       port->attr.attr.mode = S_IRUSR | S_IWUSR;
+       port->attr.show = usbport_trig_port_show;
+       port->attr.store = usbport_trig_port_store;
+
+       err = sysfs_add_file_to_group(&led_cdev->dev->kobj, &port->attr.attr,
+                                     ports_group.name);
+       if (err)
+               goto err_free_port_name;
+
+       list_add_tail(&port->list, &usbport_data->ports);
+
+       return 0;
+
+err_free_port_name:
+       kfree(port->port_name);
+err_free_port:
+       kfree(port);
+err_out:
+       return err;
+}
+
+static int usbport_trig_add_usb_dev_ports(struct usb_device *usb_dev,
+                                         void *data)
+{
+       struct usbport_trig_data *usbport_data = data;
+       int i;
+
+       for (i = 1; i <= usb_dev->maxchild; i++)
+               usbport_trig_add_port(usbport_data, usb_dev,
+                                     dev_name(&usb_dev->dev), i);
+
+       return 0;
+}
+
+static void usbport_trig_remove_port(struct usbport_trig_data *usbport_data,
+                                    struct usbport_trig_port *port)
+{
+       struct led_classdev *led_cdev = usbport_data->led_cdev;
+
+       list_del(&port->list);
+       sysfs_remove_file_from_group(&led_cdev->dev->kobj, &port->attr.attr,
+                                    ports_group.name);
+       kfree(port->port_name);
+       kfree(port);
+}
+
+static void usbport_trig_remove_usb_dev_ports(struct usbport_trig_data *usbport_data,
+                                             struct usb_device *usb_dev)
+{
+       struct usbport_trig_port *port, *tmp;
+
+       list_for_each_entry_safe(port, tmp, &usbport_data->ports, list) {
+               if (port->hub == usb_dev)
+                       usbport_trig_remove_port(usbport_data, port);
+       }
+}
+
+/***************************************
+ * Init, exit, etc.
+ ***************************************/
+
+static int usbport_trig_notify(struct notifier_block *nb, unsigned long action,
+                              void *data)
+{
+       struct usbport_trig_data *usbport_data =
+               container_of(nb, struct usbport_trig_data, nb);
+       struct led_classdev *led_cdev = usbport_data->led_cdev;
+       struct usb_device *usb_dev = data;
+       bool observed;
+
+       observed = usbport_trig_usb_dev_observed(usbport_data, usb_dev);
+
+       switch (action) {
+       case USB_DEVICE_ADD:
+               usbport_trig_add_usb_dev_ports(usb_dev, usbport_data);
+               if (observed && usbport_data->count++ == 0)
+                       led_cdev->brightness_set(led_cdev, LED_FULL);
+               return NOTIFY_OK;
+       case USB_DEVICE_REMOVE:
+               usbport_trig_remove_usb_dev_ports(usbport_data, usb_dev);
+               if (observed && --usbport_data->count == 0)
+                       led_cdev->brightness_set(led_cdev, LED_OFF);
+               return NOTIFY_OK;
+       }
+
+       return NOTIFY_DONE;
+}
+
+static void usbport_trig_activate(struct led_classdev *led_cdev)
+{
+       struct usbport_trig_data *usbport_data;
+       int err;
+
+       usbport_data = kzalloc(sizeof(*usbport_data), GFP_KERNEL);
+       if (!usbport_data)
+               return;
+       usbport_data->led_cdev = led_cdev;
+
+       /* List of ports */
+       INIT_LIST_HEAD(&usbport_data->ports);
+       err = sysfs_create_group(&led_cdev->dev->kobj, &ports_group);
+       if (err)
+               goto err_free;
+       usb_for_each_dev(usbport_data, usbport_trig_add_usb_dev_ports);
+
+       /* Notifications */
+       usbport_data->nb.notifier_call = usbport_trig_notify,
+       led_cdev->trigger_data = usbport_data;
+       usb_register_notify(&usbport_data->nb);
+
+       led_cdev->activated = true;
+       return;
+
+err_free:
+       kfree(usbport_data);
+}
+
+static void usbport_trig_deactivate(struct led_classdev *led_cdev)
+{
+       struct usbport_trig_data *usbport_data = led_cdev->trigger_data;
+       struct usbport_trig_port *port, *tmp;
+
+       if (!led_cdev->activated)
+               return;
+
+       list_for_each_entry_safe(port, tmp, &usbport_data->ports, list) {
+               usbport_trig_remove_port(usbport_data, port);
+       }
+
+       usb_unregister_notify(&usbport_data->nb);
+
+       sysfs_remove_group(&led_cdev->dev->kobj, &ports_group);
+
+       kfree(usbport_data);
+
+       led_cdev->activated = false;
+}
+
+static struct led_trigger usbport_led_trigger = {
+       .name     = "usbport",
+       .activate = usbport_trig_activate,
+       .deactivate = usbport_trig_deactivate,
+};
+
+static int __init usbport_trig_init(void)
+{
+       return led_trigger_register(&usbport_led_trigger);
+}
+
+static void __exit usbport_trig_exit(void)
+{
+       led_trigger_unregister(&usbport_led_trigger);
+}
+
+module_init(usbport_trig_init);
+module_exit(usbport_trig_exit);
+
+MODULE_AUTHOR("RafaÅ‚ MiÅ‚ecki <rafal@milecki.pl>");
+MODULE_DESCRIPTION("USB port trigger");
+MODULE_LICENSE("GPL v2");
index 0406a59..3a47077 100644 (file)
@@ -1760,17 +1760,14 @@ int usb_set_configuration(struct usb_device *dev, int configuration)
                nintf = cp->desc.bNumInterfaces;
                new_interfaces = kmalloc(nintf * sizeof(*new_interfaces),
                                GFP_NOIO);
-               if (!new_interfaces) {
-                       dev_err(&dev->dev, "Out of memory\n");
+               if (!new_interfaces)
                        return -ENOMEM;
-               }
 
                for (; n < nintf; ++n) {
                        new_interfaces[n] = kzalloc(
                                        sizeof(struct usb_interface),
                                        GFP_NOIO);
                        if (!new_interfaces[n]) {
-                               dev_err(&dev->dev, "Out of memory\n");
                                ret = -ENOMEM;
 free_interfaces:
                                while (--n >= 0)
@@ -1862,7 +1859,12 @@ free_interfaces:
                intf->dev.bus = &usb_bus_type;
                intf->dev.type = &usb_if_device_type;
                intf->dev.groups = usb_interface_groups;
+               /*
+                * Please refer to usb_alloc_dev() to see why we set
+                * dma_mask and dma_pfn_offset.
+                */
                intf->dev.dma_mask = dev->dev.dma_mask;
+               intf->dev.dma_pfn_offset = dev->dev.dma_pfn_offset;
                INIT_WORK(&intf->reset_ws, __usb_queue_reset_device);
                intf->minor = -1;
                device_initialize(&intf->dev);
index 2289700..3de4f88 100644 (file)
@@ -18,6 +18,7 @@
  */
 
 #include <linux/of.h>
+#include <linux/usb/of.h>
 
 /**
  * usb_of_get_child_node - Find the device node match port number
index a95b0c9..085049d 100644 (file)
@@ -38,7 +38,7 @@ static struct usb_device_id whitelist_table[] = {
 { USB_DEVICE(0x0525, 0xa4a2), },
 #endif
 
-#if    defined(CONFIG_USB_TEST) || defined(CONFIG_USB_TEST_MODULE)
+#if    IS_ENABLED(CONFIG_USB_TEST)
 /* gadget zero, for testing */
 { USB_DEVICE(0x0525, 0xa4a0), },
 #endif
index c601e25..a903969 100644 (file)
@@ -68,10 +68,8 @@ struct urb *usb_alloc_urb(int iso_packets, gfp_t mem_flags)
        urb = kmalloc(sizeof(struct urb) +
                iso_packets * sizeof(struct usb_iso_packet_descriptor),
                mem_flags);
-       if (!urb) {
-               printk(KERN_ERR "alloc_urb: kmalloc failed\n");
+       if (!urb)
                return NULL;
-       }
        usb_init_urb(urb);
        return urb;
 }
index 5e80697..5921514 100644 (file)
@@ -440,7 +440,18 @@ struct usb_device *usb_alloc_dev(struct usb_device *parent,
        dev->dev.bus = &usb_bus_type;
        dev->dev.type = &usb_device_type;
        dev->dev.groups = usb_device_groups;
+       /*
+        * Fake a dma_mask/offset for the USB device:
+        * We cannot really use the dma-mapping API (dma_alloc_* and
+        * dma_map_*) for USB devices but instead need to use
+        * usb_alloc_coherent and pass data in 'urb's, but some subsystems
+        * manually look into the mask/offset pair to determine whether
+        * they need bounce buffers.
+        * Note: calling dma_set_mask() on a USB device would set the
+        * mask for the entire HCD, so don't do that.
+        */
        dev->dev.dma_mask = bus->controller->dma_mask;
+       dev->dev.dma_pfn_offset = bus->controller->dma_pfn_offset;
        set_dev_node(&dev->dev, dev_to_node(bus->controller));
        dev->state = USB_STATE_ATTACHED;
        dev->lpm_disable_count = 1;
index 4135a5f..fa9b26b 100644 (file)
@@ -238,6 +238,77 @@ int dwc2_enter_hibernation(struct dwc2_hsotg *hsotg)
        return ret;
 }
 
+/**
+ * dwc2_wait_for_mode() - Waits for the controller mode.
+ * @hsotg:     Programming view of the DWC_otg controller.
+ * @host_mode: If true, waits for host mode, otherwise device mode.
+ */
+static void dwc2_wait_for_mode(struct dwc2_hsotg *hsotg,
+                              bool host_mode)
+{
+       ktime_t start;
+       ktime_t end;
+       unsigned int timeout = 110;
+
+       dev_vdbg(hsotg->dev, "Waiting for %s mode\n",
+                host_mode ? "host" : "device");
+
+       start = ktime_get();
+
+       while (1) {
+               s64 ms;
+
+               if (dwc2_is_host_mode(hsotg) == host_mode) {
+                       dev_vdbg(hsotg->dev, "%s mode set\n",
+                                host_mode ? "Host" : "Device");
+                       break;
+               }
+
+               end = ktime_get();
+               ms = ktime_to_ms(ktime_sub(end, start));
+
+               if (ms >= (s64)timeout) {
+                       dev_warn(hsotg->dev, "%s: Couldn't set %s mode\n",
+                                __func__, host_mode ? "host" : "device");
+                       break;
+               }
+
+               usleep_range(1000, 2000);
+       }
+}
+
+/**
+ * dwc2_iddig_filter_enabled() - Returns true if the IDDIG debounce
+ * filter is enabled.
+ */
+static bool dwc2_iddig_filter_enabled(struct dwc2_hsotg *hsotg)
+{
+       u32 gsnpsid;
+       u32 ghwcfg4;
+
+       if (!dwc2_hw_is_otg(hsotg))
+               return false;
+
+       /* Check if core configuration includes the IDDIG filter. */
+       ghwcfg4 = dwc2_readl(hsotg->regs + GHWCFG4);
+       if (!(ghwcfg4 & GHWCFG4_IDDIG_FILT_EN))
+               return false;
+
+       /*
+        * Check if the IDDIG debounce filter is bypassed. Available
+        * in core version >= 3.10a.
+        */
+       gsnpsid = dwc2_readl(hsotg->regs + GSNPSID);
+       if (gsnpsid >= DWC2_CORE_REV_3_10a) {
+               u32 gotgctl = dwc2_readl(hsotg->regs + GOTGCTL);
+
+               if (gotgctl & GOTGCTL_DBNCE_FLTR_BYPASS)
+                       return false;
+       }
+
+       return true;
+}
+
 /*
  * Do core a soft reset of the core.  Be careful with this because it
  * resets all the internal state machines of the core.
@@ -246,9 +317,30 @@ int dwc2_core_reset(struct dwc2_hsotg *hsotg)
 {
        u32 greset;
        int count = 0;
+       bool wait_for_host_mode = false;
 
        dev_vdbg(hsotg->dev, "%s()\n", __func__);
 
+       /*
+        * If the current mode is host, either due to the force mode
+        * bit being set (which persists after core reset) or the
+        * connector id pin, a core soft reset will temporarily reset
+        * the mode to device. A delay from the IDDIG debounce filter
+        * will occur before going back to host mode.
+        *
+        * Determine whether we will go back into host mode after a
+        * reset and account for this delay after the reset.
+        */
+       if (dwc2_iddig_filter_enabled(hsotg)) {
+               u32 gotgctl = dwc2_readl(hsotg->regs + GOTGCTL);
+               u32 gusbcfg = dwc2_readl(hsotg->regs + GUSBCFG);
+
+               if (!(gotgctl & GOTGCTL_CONID_B) ||
+                   (gusbcfg & GUSBCFG_FORCEHOSTMODE)) {
+                       wait_for_host_mode = true;
+               }
+       }
+
        /* Core Soft Reset */
        greset = dwc2_readl(hsotg->regs + GRSTCTL);
        greset |= GRSTCTL_CSFTRST;
@@ -277,6 +369,9 @@ int dwc2_core_reset(struct dwc2_hsotg *hsotg)
                }
        } while (!(greset & GRSTCTL_AHBIDLE));
 
+       if (wait_for_host_mode)
+               dwc2_wait_for_mode(hsotg, true);
+
        return 0;
 }
 
@@ -300,9 +395,9 @@ int dwc2_core_reset(struct dwc2_hsotg *hsotg)
  * Checks are done in this function to determine whether doing a force
  * would be valid or not.
  *
- * If a force is done, it requires a 25ms delay to take effect.
- *
- * Returns true if the mode was forced.
+ * If a force is done, it requires a IDDIG debounce filter delay if
+ * the filter is configured and enabled. We poll the current mode of
+ * the controller to account for this delay.
  */
 static bool dwc2_force_mode(struct dwc2_hsotg *hsotg, bool host)
 {
@@ -337,12 +432,18 @@ static bool dwc2_force_mode(struct dwc2_hsotg *hsotg, bool host)
        gusbcfg |= set;
        dwc2_writel(gusbcfg, hsotg->regs + GUSBCFG);
 
-       msleep(25);
+       dwc2_wait_for_mode(hsotg, host);
        return true;
 }
 
-/*
- * Clears the force mode bits.
+/**
+ * dwc2_clear_force_mode() - Clears the force mode bits.
+ *
+ * After clearing the bits, wait up to 100 ms to account for any
+ * potential IDDIG filter delay. We can't know if we expect this delay
+ * or not because the value of the connector ID status is affected by
+ * the force mode. We only need to call this once during probe if
+ * dr_mode == OTG.
  */
 static void dwc2_clear_force_mode(struct dwc2_hsotg *hsotg)
 {
@@ -353,11 +454,8 @@ static void dwc2_clear_force_mode(struct dwc2_hsotg *hsotg)
        gusbcfg &= ~GUSBCFG_FORCEDEVMODE;
        dwc2_writel(gusbcfg, hsotg->regs + GUSBCFG);
 
-       /*
-        * NOTE: This long sleep is _very_ important, otherwise the core will
-        * not stay in host mode after a connector ID change!
-        */
-       msleep(25);
+       if (dwc2_iddig_filter_enabled(hsotg))
+               usleep_range(100000, 110000);
 }
 
 /*
@@ -380,12 +478,6 @@ void dwc2_force_dr_mode(struct dwc2_hsotg *hsotg)
                         __func__, hsotg->dr_mode);
                break;
        }
-
-       /*
-        * NOTE: This is required for some rockchip soc based
-        * platforms.
-        */
-       msleep(50);
 }
 
 /*
index d645512..aad4107 100644 (file)
@@ -259,13 +259,6 @@ enum dwc2_lx_state {
        DWC2_L3,        /* Off state */
 };
 
-/*
- * Gadget periodic tx fifo sizes as used by legacy driver
- * EP0 is not included
- */
-#define DWC2_G_P_LEGACY_TX_FIFO_SIZE {256, 256, 256, 256, 768, 768, 768, \
-                                          768, 0, 0, 0, 0, 0, 0, 0}
-
 /* Gadget ep0 states */
 enum dwc2_ep0_state {
        DWC2_EP0_SETUP,
@@ -890,6 +883,7 @@ struct dwc2_hsotg {
 #define DWC2_CORE_REV_2_92a    0x4f54292a
 #define DWC2_CORE_REV_2_94a    0x4f54294a
 #define DWC2_CORE_REV_3_00a    0x4f54300a
+#define DWC2_CORE_REV_3_10a    0x4f54310a
 
 #if IS_ENABLED(CONFIG_USB_DWC2_HOST) || IS_ENABLED(CONFIG_USB_DWC2_DUAL_ROLE)
        union dwc2_hcd_internal_flags {
index af46adf..4cd6403 100644 (file)
@@ -186,9 +186,10 @@ static void dwc2_hsotg_ctrl_epint(struct dwc2_hsotg *hsotg,
  */
 static void dwc2_hsotg_init_fifo(struct dwc2_hsotg *hsotg)
 {
-       unsigned int ep;
+       unsigned int fifo;
        unsigned int addr;
        int timeout;
+       u32 dptxfsizn;
        u32 val;
 
        /* Reset fifo map if not correctly cleared during previous session */
@@ -216,16 +217,16 @@ static void dwc2_hsotg_init_fifo(struct dwc2_hsotg *hsotg)
         * them to endpoints dynamically according to maxpacket size value of
         * given endpoint.
         */
-       for (ep = 1; ep < MAX_EPS_CHANNELS; ep++) {
-               if (!hsotg->g_tx_fifo_sz[ep])
-                       continue;
-               val = addr;
-               val |= hsotg->g_tx_fifo_sz[ep] << FIFOSIZE_DEPTH_SHIFT;
-               WARN_ONCE(addr + hsotg->g_tx_fifo_sz[ep] > hsotg->fifo_mem,
-                         "insufficient fifo memory");
-               addr += hsotg->g_tx_fifo_sz[ep];
+       for (fifo = 1; fifo < MAX_EPS_CHANNELS; fifo++) {
+               dptxfsizn = dwc2_readl(hsotg->regs + DPTXFSIZN(fifo));
+
+               val = (dptxfsizn & FIFOSIZE_DEPTH_MASK) | addr;
+               addr += dptxfsizn >> FIFOSIZE_DEPTH_SHIFT;
+
+               if (addr > hsotg->fifo_mem)
+                       break;
 
-               dwc2_writel(val, hsotg->regs + DPTXFSIZN(ep));
+               dwc2_writel(val, hsotg->regs + DPTXFSIZN(fifo));
        }
 
        /*
@@ -388,7 +389,8 @@ static int dwc2_hsotg_write_fifo(struct dwc2_hsotg *hsotg,
                        return -ENOSPC;
                }
        } else if (hsotg->dedicated_fifos && hs_ep->index != 0) {
-               can_write = dwc2_readl(hsotg->regs + DTXFSTS(hs_ep->index));
+               can_write = dwc2_readl(hsotg->regs +
+                               DTXFSTS(hs_ep->fifo_index));
 
                can_write &= 0xffff;
                can_write *= 4;
@@ -2432,7 +2434,7 @@ static void kill_all_requests(struct dwc2_hsotg *hsotg,
 
        if (!hsotg->dedicated_fifos)
                return;
-       size = (dwc2_readl(hsotg->regs + DTXFSTS(ep->index)) & 0xffff) * 4;
+       size = (dwc2_readl(hsotg->regs + DTXFSTS(ep->fifo_index)) & 0xffff) * 4;
        if (size < ep->fifo_size)
                dwc2_hsotg_txfifo_flush(hsotg, ep->fifo_index);
 }
@@ -3041,22 +3043,11 @@ static int dwc2_hsotg_ep_enable(struct usb_ep *ep,
                break;
        }
 
-       /* If fifo is already allocated for this ep */
-       if (hs_ep->fifo_index) {
-               size =  hs_ep->ep.maxpacket * hs_ep->mc;
-               /* If bigger fifo is required deallocate current one */
-               if (size > hs_ep->fifo_size) {
-                       hsotg->fifo_map &= ~(1 << hs_ep->fifo_index);
-                       hs_ep->fifo_index = 0;
-                       hs_ep->fifo_size = 0;
-               }
-       }
-
        /*
         * if the hardware has dedicated fifos, we must give each IN EP
         * a unique tx-fifo even if it is non-periodic.
         */
-       if (dir_in && hsotg->dedicated_fifos && !hs_ep->fifo_index) {
+       if (dir_in && hsotg->dedicated_fifos) {
                u32 fifo_index = 0;
                u32 fifo_size = UINT_MAX;
                size = hs_ep->ep.maxpacket*hs_ep->mc;
@@ -3129,10 +3120,6 @@ static int dwc2_hsotg_ep_disable(struct usb_ep *ep)
 
        spin_lock_irqsave(&hsotg->lock, flags);
 
-       hsotg->fifo_map &= ~(1<<hs_ep->fifo_index);
-       hs_ep->fifo_index = 0;
-       hs_ep->fifo_size = 0;
-
        ctrl = dwc2_readl(hsotg->regs + epctrl_reg);
        ctrl &= ~DXEPCTL_EPENA;
        ctrl &= ~DXEPCTL_USBACTEP;
@@ -3147,6 +3134,10 @@ static int dwc2_hsotg_ep_disable(struct usb_ep *ep)
        /* terminate all requests with shutdown */
        kill_all_requests(hsotg, hs_ep, -ESHUTDOWN);
 
+       hsotg->fifo_map &= ~(1 << hs_ep->fifo_index);
+       hs_ep->fifo_index = 0;
+       hs_ep->fifo_size = 0;
+
        spin_unlock_irqrestore(&hsotg->lock, flags);
        return 0;
 }
@@ -3475,8 +3466,11 @@ static int dwc2_hsotg_udc_start(struct usb_gadget *gadget,
                otg_set_peripheral(hsotg->uphy->otg, &hsotg->gadget);
 
        spin_lock_irqsave(&hsotg->lock, flags);
-       dwc2_hsotg_init(hsotg);
-       dwc2_hsotg_core_init_disconnected(hsotg, false);
+       if (dwc2_hw_is_device(hsotg)) {
+               dwc2_hsotg_init(hsotg);
+               dwc2_hsotg_core_init_disconnected(hsotg, false);
+       }
+
        hsotg->enabled = 0;
        spin_unlock_irqrestore(&hsotg->lock, flags);
 
@@ -3813,36 +3807,10 @@ static void dwc2_hsotg_dump(struct dwc2_hsotg *hsotg)
 static void dwc2_hsotg_of_probe(struct dwc2_hsotg *hsotg)
 {
        struct device_node *np = hsotg->dev->of_node;
-       u32 len = 0;
-       u32 i = 0;
 
        /* Enable dma if requested in device tree */
        hsotg->g_using_dma = of_property_read_bool(np, "g-use-dma");
 
-       /*
-       * Register TX periodic fifo size per endpoint.
-       * EP0 is excluded since it has no fifo configuration.
-       */
-       if (!of_find_property(np, "g-tx-fifo-size", &len))
-               goto rx_fifo;
-
-       len /= sizeof(u32);
-
-       /* Read tx fifo sizes other than ep0 */
-       if (of_property_read_u32_array(np, "g-tx-fifo-size",
-                                               &hsotg->g_tx_fifo_sz[1], len))
-               goto rx_fifo;
-
-       /* Add ep0 */
-       len++;
-
-       /* Make remaining TX fifos unavailable */
-       if (len < MAX_EPS_CHANNELS) {
-               for (i = len; i < MAX_EPS_CHANNELS; i++)
-                       hsotg->g_tx_fifo_sz[i] = 0;
-       }
-
-rx_fifo:
        /* Register RX fifo size */
        of_property_read_u32(np, "g-rx-fifo-size", &hsotg->g_rx_fifo_sz);
 
@@ -3864,13 +3832,10 @@ int dwc2_gadget_init(struct dwc2_hsotg *hsotg, int irq)
        struct device *dev = hsotg->dev;
        int epnum;
        int ret;
-       int i;
-       u32 p_tx_fifo[] = DWC2_G_P_LEGACY_TX_FIFO_SIZE;
 
        /* Initialize to legacy fifo configuration values */
        hsotg->g_rx_fifo_sz = 2048;
        hsotg->g_np_g_tx_fifo_sz = 1024;
-       memcpy(&hsotg->g_tx_fifo_sz[1], p_tx_fifo, sizeof(p_tx_fifo));
        /* Device tree specific probe */
        dwc2_hsotg_of_probe(hsotg);
 
@@ -3888,9 +3853,6 @@ int dwc2_gadget_init(struct dwc2_hsotg *hsotg, int irq)
        dev_dbg(dev, "NonPeriodic TXFIFO size: %d\n",
                                                hsotg->g_np_g_tx_fifo_sz);
        dev_dbg(dev, "RXFIFO size: %d\n", hsotg->g_rx_fifo_sz);
-       for (i = 0; i < MAX_EPS_CHANNELS; i++)
-               dev_dbg(dev, "Periodic TXFIFO%2d size: %d\n", i,
-                                               hsotg->g_tx_fifo_sz[i]);
 
        hsotg->gadget.max_speed = USB_SPEED_HIGH;
        hsotg->gadget.ops = &dwc2_hsotg_gadget_ops;
@@ -3908,17 +3870,13 @@ int dwc2_gadget_init(struct dwc2_hsotg *hsotg, int irq)
 
        hsotg->ctrl_buff = devm_kzalloc(hsotg->dev,
                        DWC2_CTRL_BUFF_SIZE, GFP_KERNEL);
-       if (!hsotg->ctrl_buff) {
-               dev_err(dev, "failed to allocate ctrl request buff\n");
+       if (!hsotg->ctrl_buff)
                return -ENOMEM;
-       }
 
        hsotg->ep0_buff = devm_kzalloc(hsotg->dev,
                        DWC2_CTRL_BUFF_SIZE, GFP_KERNEL);
-       if (!hsotg->ep0_buff) {
-               dev_err(dev, "failed to allocate ctrl reply buff\n");
+       if (!hsotg->ep0_buff)
                return -ENOMEM;
-       }
 
        ret = devm_request_irq(hsotg->dev, irq, dwc2_hsotg_irq, IRQF_SHARED,
                                dev_name(hsotg->dev), hsotg);
index 2df3d04..df5a065 100644 (file)
@@ -5040,7 +5040,7 @@ int dwc2_hcd_init(struct dwc2_hsotg *hsotg, int irq)
 
        /* Create new workqueue and init work */
        retval = -ENOMEM;
-       hsotg->wq_otg = create_singlethread_workqueue("dwc2");
+       hsotg->wq_otg = alloc_ordered_workqueue("dwc2", 0);
        if (!hsotg->wq_otg) {
                dev_err(hsotg->dev, "Failed to create workqueue\n");
                goto error2;
index efc3bcd..9105844 100644 (file)
@@ -48,6 +48,7 @@
 #define GOTGCTL_ASESVLD                        (1 << 18)
 #define GOTGCTL_DBNC_SHORT             (1 << 17)
 #define GOTGCTL_CONID_B                        (1 << 16)
+#define GOTGCTL_DBNCE_FLTR_BYPASS      (1 << 15)
 #define GOTGCTL_DEVHNPEN               (1 << 11)
 #define GOTGCTL_HSTSETHNPEN            (1 << 10)
 #define GOTGCTL_HNPREQ                 (1 << 9)
index a64ce1c..b97cde7 100644 (file)
@@ -1,7 +1,7 @@
 config USB_DWC3
        tristate "DesignWare USB3 DRD Core Support"
        depends on (USB || USB_GADGET) && HAS_DMA
-       select USB_XHCI_PLATFORM if USB_SUPPORT && USB_XHCI_HCD
+       select USB_XHCI_PLATFORM if USB_XHCI_HCD
        help
          Say Y or M here if your system has a Dual Role SuperSpeed
          USB controller based on the DesignWare USB3 IP Core.
index 35d0924..7287a76 100644 (file)
 
 #define DWC3_DEFAULT_AUTOSUSPEND_DELAY 5000 /* ms */
 
+/**
+ * dwc3_get_dr_mode - Validates and sets dr_mode
+ * @dwc: pointer to our context structure
+ */
+static int dwc3_get_dr_mode(struct dwc3 *dwc)
+{
+       enum usb_dr_mode mode;
+       struct device *dev = dwc->dev;
+       unsigned int hw_mode;
+
+       if (dwc->dr_mode == USB_DR_MODE_UNKNOWN)
+               dwc->dr_mode = USB_DR_MODE_OTG;
+
+       mode = dwc->dr_mode;
+       hw_mode = DWC3_GHWPARAMS0_MODE(dwc->hwparams.hwparams0);
+
+       switch (hw_mode) {
+       case DWC3_GHWPARAMS0_MODE_GADGET:
+               if (IS_ENABLED(CONFIG_USB_DWC3_HOST)) {
+                       dev_err(dev,
+                               "Controller does not support host mode.\n");
+                       return -EINVAL;
+               }
+               mode = USB_DR_MODE_PERIPHERAL;
+               break;
+       case DWC3_GHWPARAMS0_MODE_HOST:
+               if (IS_ENABLED(CONFIG_USB_DWC3_GADGET)) {
+                       dev_err(dev,
+                               "Controller does not support device mode.\n");
+                       return -EINVAL;
+               }
+               mode = USB_DR_MODE_HOST;
+               break;
+       default:
+               if (IS_ENABLED(CONFIG_USB_DWC3_HOST))
+                       mode = USB_DR_MODE_HOST;
+               else if (IS_ENABLED(CONFIG_USB_DWC3_GADGET))
+                       mode = USB_DR_MODE_PERIPHERAL;
+       }
+
+       if (mode != dwc->dr_mode) {
+               dev_warn(dev,
+                        "Configuration mismatch. dr_mode forced to %s\n",
+                        mode == USB_DR_MODE_HOST ? "host" : "gadget");
+
+               dwc->dr_mode = mode;
+       }
+
+       return 0;
+}
+
 void dwc3_set_mode(struct dwc3 *dwc, u32 mode)
 {
        u32 reg;
@@ -448,6 +499,9 @@ static int dwc3_phy_setup(struct dwc3 *dwc)
        if (dwc->dis_u3_susphy_quirk)
                reg &= ~DWC3_GUSB3PIPECTL_SUSPHY;
 
+       if (dwc->dis_del_phy_power_chg_quirk)
+               reg &= ~DWC3_GUSB3PIPECTL_DEPOCHANGE;
+
        dwc3_writel(dwc->regs, DWC3_GUSB3PIPECTL(0), reg);
 
        reg = dwc3_readl(dwc->regs, DWC3_GUSB2PHYCFG(0));
@@ -485,6 +539,23 @@ static int dwc3_phy_setup(struct dwc3 *dwc)
                break;
        }
 
+       switch (dwc->hsphy_mode) {
+       case USBPHY_INTERFACE_MODE_UTMI:
+               reg &= ~(DWC3_GUSB2PHYCFG_PHYIF_MASK |
+                      DWC3_GUSB2PHYCFG_USBTRDTIM_MASK);
+               reg |= DWC3_GUSB2PHYCFG_PHYIF(UTMI_PHYIF_8_BIT) |
+                      DWC3_GUSB2PHYCFG_USBTRDTIM(USBTRDTIM_UTMI_8_BIT);
+               break;
+       case USBPHY_INTERFACE_MODE_UTMIW:
+               reg &= ~(DWC3_GUSB2PHYCFG_PHYIF_MASK |
+                      DWC3_GUSB2PHYCFG_USBTRDTIM_MASK);
+               reg |= DWC3_GUSB2PHYCFG_PHYIF(UTMI_PHYIF_16_BIT) |
+                      DWC3_GUSB2PHYCFG_USBTRDTIM(USBTRDTIM_UTMI_16_BIT);
+               break;
+       default:
+               break;
+       }
+
        /*
         * Above 1.94a, it is recommended to set DWC3_GUSB2PHYCFG_SUSPHY to
         * '0' during coreConsultant configuration. So default value will
@@ -500,6 +571,9 @@ static int dwc3_phy_setup(struct dwc3 *dwc)
        if (dwc->dis_enblslpm_quirk)
                reg &= ~DWC3_GUSB2PHYCFG_ENBLSLPM;
 
+       if (dwc->dis_u2_freeclk_exists_quirk)
+               reg &= ~DWC3_GUSB2PHYCFG_U2_FREECLK_EXISTS;
+
        dwc3_writel(dwc->regs, DWC3_GUSB2PHYCFG(0), reg);
 
        return 0;
@@ -666,6 +740,32 @@ static int dwc3_core_init(struct dwc3 *dwc)
                goto err4;
        }
 
+       switch (dwc->dr_mode) {
+       case USB_DR_MODE_PERIPHERAL:
+               dwc3_set_mode(dwc, DWC3_GCTL_PRTCAP_DEVICE);
+               break;
+       case USB_DR_MODE_HOST:
+               dwc3_set_mode(dwc, DWC3_GCTL_PRTCAP_HOST);
+               break;
+       case USB_DR_MODE_OTG:
+               dwc3_set_mode(dwc, DWC3_GCTL_PRTCAP_OTG);
+               break;
+       default:
+               dev_warn(dwc->dev, "Unsupported mode %d\n", dwc->dr_mode);
+               break;
+       }
+
+       /*
+        * ENDXFER polling is available on version 3.10a and later of
+        * the DWC_usb3 controller. It is NOT available in the
+        * DWC_usb31 controller.
+        */
+       if (!dwc3_is_usb31(dwc) && dwc->revision >= DWC3_REVISION_310A) {
+               reg = dwc3_readl(dwc->regs, DWC3_GUCTL2);
+               reg |= DWC3_GUCTL2_RST_ACTBITLATER;
+               dwc3_writel(dwc->regs, DWC3_GUCTL2, reg);
+       }
+
        return 0;
 
 err4:
@@ -763,7 +863,6 @@ static int dwc3_core_init_mode(struct dwc3 *dwc)
 
        switch (dwc->dr_mode) {
        case USB_DR_MODE_PERIPHERAL:
-               dwc3_set_mode(dwc, DWC3_GCTL_PRTCAP_DEVICE);
                ret = dwc3_gadget_init(dwc);
                if (ret) {
                        if (ret != -EPROBE_DEFER)
@@ -772,7 +871,6 @@ static int dwc3_core_init_mode(struct dwc3 *dwc)
                }
                break;
        case USB_DR_MODE_HOST:
-               dwc3_set_mode(dwc, DWC3_GCTL_PRTCAP_HOST);
                ret = dwc3_host_init(dwc);
                if (ret) {
                        if (ret != -EPROBE_DEFER)
@@ -781,7 +879,6 @@ static int dwc3_core_init_mode(struct dwc3 *dwc)
                }
                break;
        case USB_DR_MODE_OTG:
-               dwc3_set_mode(dwc, DWC3_GCTL_PRTCAP_OTG);
                ret = dwc3_host_init(dwc);
                if (ret) {
                        if (ret != -EPROBE_DEFER)
@@ -888,6 +985,7 @@ static int dwc3_probe(struct platform_device *pdev)
 
        dwc->maximum_speed = usb_get_maximum_speed(dev);
        dwc->dr_mode = usb_get_dr_mode(dev);
+       dwc->hsphy_mode = of_usb_get_phy_mode(dev->of_node);
 
        dwc->has_lpm_erratum = device_property_read_bool(dev,
                                "snps,has-lpm-erratum");
@@ -924,6 +1022,10 @@ static int dwc3_probe(struct platform_device *pdev)
                                "snps,dis_enblslpm_quirk");
        dwc->dis_rxdet_inp3_quirk = device_property_read_bool(dev,
                                "snps,dis_rxdet_inp3_quirk");
+       dwc->dis_u2_freeclk_exists_quirk = device_property_read_bool(dev,
+                               "snps,dis-u2-freeclk-exists-quirk");
+       dwc->dis_del_phy_power_chg_quirk = device_property_read_bool(dev,
+                               "snps,dis-del-phy-power-chg-quirk");
 
        dwc->tx_de_emphasis_quirk = device_property_read_bool(dev,
                                "snps,tx_de_emphasis_quirk");
@@ -972,17 +1074,9 @@ static int dwc3_probe(struct platform_device *pdev)
                goto err2;
        }
 
-       if (IS_ENABLED(CONFIG_USB_DWC3_HOST) &&
-                       (dwc->dr_mode == USB_DR_MODE_OTG ||
-                                       dwc->dr_mode == USB_DR_MODE_UNKNOWN))
-               dwc->dr_mode = USB_DR_MODE_HOST;
-       else if (IS_ENABLED(CONFIG_USB_DWC3_GADGET) &&
-                       (dwc->dr_mode == USB_DR_MODE_OTG ||
-                                       dwc->dr_mode == USB_DR_MODE_UNKNOWN))
-               dwc->dr_mode = USB_DR_MODE_PERIPHERAL;
-
-       if (dwc->dr_mode == USB_DR_MODE_UNKNOWN)
-               dwc->dr_mode = USB_DR_MODE_OTG;
+       ret = dwc3_get_dr_mode(dwc);
+       if (ret)
+               goto err3;
 
        ret = dwc3_alloc_scratch_buffers(dwc);
        if (ret)
index 45d6de5..6b60e42 100644 (file)
 #define DWC3_GPRTBIMAP_HS1     0xc184
 #define DWC3_GPRTBIMAP_FS0     0xc188
 #define DWC3_GPRTBIMAP_FS1     0xc18c
+#define DWC3_GUCTL2            0xc19c
 
 #define DWC3_VER_NUMBER                0xc1a0
 #define DWC3_VER_TYPE          0xc1a4
 
 /* Global USB2 PHY Configuration Register */
 #define DWC3_GUSB2PHYCFG_PHYSOFTRST    (1 << 31)
+#define DWC3_GUSB2PHYCFG_U2_FREECLK_EXISTS     (1 << 30)
 #define DWC3_GUSB2PHYCFG_SUSPHY                (1 << 6)
 #define DWC3_GUSB2PHYCFG_ULPI_UTMI     (1 << 4)
 #define DWC3_GUSB2PHYCFG_ENBLSLPM      (1 << 8)
+#define DWC3_GUSB2PHYCFG_PHYIF(n)      (n << 3)
+#define DWC3_GUSB2PHYCFG_PHYIF_MASK    DWC3_GUSB2PHYCFG_PHYIF(1)
+#define DWC3_GUSB2PHYCFG_USBTRDTIM(n)  (n << 10)
+#define DWC3_GUSB2PHYCFG_USBTRDTIM_MASK        DWC3_GUSB2PHYCFG_USBTRDTIM(0xf)
+#define USBTRDTIM_UTMI_8_BIT           9
+#define USBTRDTIM_UTMI_16_BIT          5
+#define UTMI_PHYIF_16_BIT              1
+#define UTMI_PHYIF_8_BIT               0
 
 /* Global USB2 PHY Vendor Control Register */
 #define DWC3_GUSB2PHYACC_NEWREGREQ     (1 << 25)
 #define DWC3_GEVNTSIZ_SIZE(n)          ((n) & 0xffff)
 
 /* Global HWPARAMS0 Register */
-#define DWC3_GHWPARAMS0_USB3_MODE(n)   ((n) & 0x3)
+#define DWC3_GHWPARAMS0_MODE(n)                ((n) & 0x3)
+#define DWC3_GHWPARAMS0_MODE_GADGET    0
+#define DWC3_GHWPARAMS0_MODE_HOST      1
+#define DWC3_GHWPARAMS0_MODE_DRD       2
 #define DWC3_GHWPARAMS0_MBUS_TYPE(n)   (((n) >> 3) & 0x7)
 #define DWC3_GHWPARAMS0_SBUS_TYPE(n)   (((n) >> 6) & 0x3)
 #define DWC3_GHWPARAMS0_MDWIDTH(n)     (((n) >> 8) & 0xff)
 #define DWC3_GFLADJ_30MHZ_SDBND_SEL            (1 << 7)
 #define DWC3_GFLADJ_30MHZ_MASK                 0x3f
 
+/* Global User Control Register 2 */
+#define DWC3_GUCTL2_RST_ACTBITLATER            (1 << 14)
+
 /* Device Configuration Register */
 #define DWC3_DCFG_DEVADDR(addr)        ((addr) << 3)
 #define DWC3_DCFG_DEVADDR_MASK DWC3_DCFG_DEVADDR(0x7f)
@@ -685,6 +701,8 @@ struct dwc3_hwparams {
  * @request: struct usb_request to be transferred
  * @list: a list_head used for request queueing
  * @dep: struct dwc3_ep owning this request
+ * @sg: pointer to first incomplete sg
+ * @num_pending_sgs: counter to pending sgs
  * @first_trb_index: index to first trb used by this request
  * @epnum: endpoint number to which this request refers
  * @trb: pointer to struct dwc3_trb
@@ -697,7 +715,9 @@ struct dwc3_request {
        struct usb_request      request;
        struct list_head        list;
        struct dwc3_ep          *dep;
+       struct scatterlist      *sg;
 
+       unsigned                num_pending_sgs;
        u8                      first_trb_index;
        u8                      epnum;
        struct dwc3_trb         *trb;
@@ -743,6 +763,9 @@ struct dwc3_scratchpad_array {
  * @maximum_speed: maximum speed requested (mainly for testing purposes)
  * @revision: revision register contents
  * @dr_mode: requested mode of operation
+ * @hsphy_mode: UTMI phy mode, one of following:
+ *             - USBPHY_INTERFACE_MODE_UTMI
+ *             - USBPHY_INTERFACE_MODE_UTMIW
  * @usb2_phy: pointer to USB2 PHY
  * @usb3_phy: pointer to USB3 PHY
  * @usb2_generic_phy: pointer to USB2 PHY
@@ -799,6 +822,11 @@ struct dwc3_scratchpad_array {
  * @dis_u2_susphy_quirk: set if we disable usb2 suspend phy
  * @dis_enblslpm_quirk: set if we clear enblslpm in GUSB2PHYCFG,
  *                      disabling the suspend signal to the PHY.
+ * @dis_u2_freeclk_exists_quirk : set if we clear u2_freeclk_exists
+ *                     in GUSB2PHYCFG, specify that USB2 PHY doesn't
+ *                     provide a free-running PHY clock.
+ * @dis_del_phy_power_chg_quirk: set if we disable delay phy power
+ *                     change quirk.
  * @tx_de_emphasis_quirk: set if we enable Tx de-emphasis quirk
  * @tx_de_emphasis: Tx de-emphasis value
  *     0       - -6dB de-emphasis
@@ -845,6 +873,7 @@ struct dwc3 {
        size_t                  regs_size;
 
        enum usb_dr_mode        dr_mode;
+       enum usb_phy_interface  hsphy_mode;
 
        u32                     fladj;
        u32                     irq_gadget;
@@ -880,6 +909,8 @@ struct dwc3 {
 #define DWC3_REVISION_260A     0x5533260a
 #define DWC3_REVISION_270A     0x5533270a
 #define DWC3_REVISION_280A     0x5533280a
+#define DWC3_REVISION_300A     0x5533300a
+#define DWC3_REVISION_310A     0x5533310a
 
 /*
  * NOTICE: we're using bit 31 as a "is usb 3.1" flag. This is really
@@ -942,6 +973,8 @@ struct dwc3 {
        unsigned                dis_u2_susphy_quirk:1;
        unsigned                dis_enblslpm_quirk:1;
        unsigned                dis_rxdet_inp3_quirk:1;
+       unsigned                dis_u2_freeclk_exists_quirk:1;
+       unsigned                dis_del_phy_power_chg_quirk:1;
 
        unsigned                tx_de_emphasis_quirk:1;
        unsigned                tx_de_emphasis:2;
index e56d59b..fe414e7 100644 (file)
@@ -36,36 +36,25 @@ struct dwc3_of_simple {
        int                     num_clocks;
 };
 
-static int dwc3_of_simple_probe(struct platform_device *pdev)
+static int dwc3_of_simple_clk_init(struct dwc3_of_simple *simple, int count)
 {
-       struct dwc3_of_simple   *simple;
-       struct device           *dev = &pdev->dev;
+       struct device           *dev = simple->dev;
        struct device_node      *np = dev->of_node;
-
-       unsigned int            count;
-       int                     ret;
        int                     i;
 
-       simple = devm_kzalloc(dev, sizeof(*simple), GFP_KERNEL);
-       if (!simple)
-               return -ENOMEM;
+       simple->num_clocks = count;
 
-       count = of_clk_get_parent_count(np);
        if (!count)
-               return -ENOENT;
-
-       simple->num_clocks = count;
+               return 0;
 
        simple->clks = devm_kcalloc(dev, simple->num_clocks,
                        sizeof(struct clk *), GFP_KERNEL);
        if (!simple->clks)
                return -ENOMEM;
 
-       platform_set_drvdata(pdev, simple);
-       simple->dev = dev;
-
        for (i = 0; i < simple->num_clocks; i++) {
                struct clk      *clk;
+               int             ret;
 
                clk = of_clk_get(np, i);
                if (IS_ERR(clk)) {
@@ -88,6 +77,29 @@ static int dwc3_of_simple_probe(struct platform_device *pdev)
                simple->clks[i] = clk;
        }
 
+       return 0;
+}
+
+static int dwc3_of_simple_probe(struct platform_device *pdev)
+{
+       struct dwc3_of_simple   *simple;
+       struct device           *dev = &pdev->dev;
+       struct device_node      *np = dev->of_node;
+
+       int                     ret;
+       int                     i;
+
+       simple = devm_kzalloc(dev, sizeof(*simple), GFP_KERNEL);
+       if (!simple)
+               return -ENOMEM;
+
+       platform_set_drvdata(pdev, simple);
+       simple->dev = dev;
+
+       ret = dwc3_of_simple_clk_init(simple, of_clk_get_parent_count(np));
+       if (ret)
+               return ret;
+
        ret = of_platform_populate(np, NULL, NULL, dev);
        if (ret) {
                for (i = 0; i < simple->num_clocks; i++) {
@@ -112,7 +124,7 @@ static int dwc3_of_simple_remove(struct platform_device *pdev)
        int                     i;
 
        for (i = 0; i < simple->num_clocks; i++) {
-               clk_unprepare(simple->clks[i]);
+               clk_disable_unprepare(simple->clks[i]);
                clk_put(simple->clks[i]);
        }
 
@@ -162,7 +174,9 @@ static const struct dev_pm_ops dwc3_of_simple_dev_pm_ops = {
 
 static const struct of_device_id of_dwc3_simple_match[] = {
        { .compatible = "qcom,dwc3" },
+       { .compatible = "rockchip,rk3399-dwc3" },
        { .compatible = "xlnx,zynqmp-dwc3" },
+       { .compatible = "cavium,octeon-7130-usb-uctl" },
        { /* Sentinel */ }
 };
 MODULE_DEVICE_TABLE(of, of_dwc3_simple_match);
index 122e64d..07cc892 100644 (file)
@@ -174,15 +174,8 @@ void dwc3_gadget_giveback(struct dwc3_ep *dep, struct dwc3_request *req,
                int status)
 {
        struct dwc3                     *dwc = dep->dwc;
-       int                             i;
 
-       if (req->started) {
-               i = 0;
-               do {
-                       dwc3_ep_inc_deq(dep);
-               } while(++i < req->request.num_mapped_sgs);
-               req->started = false;
-       }
+       req->started = false;
        list_del(&req->list);
        req->trb = NULL;
 
@@ -348,7 +341,8 @@ static int dwc3_send_clear_stall_ep_cmd(struct dwc3_ep *dep)
         * IN transfers due to a mishandled error condition. Synopsys
         * STAR 9000614252.
         */
-       if (dep->direction && (dwc->revision >= DWC3_REVISION_260A))
+       if (dep->direction && (dwc->revision >= DWC3_REVISION_260A) &&
+           (dwc->gadget.speed >= USB_SPEED_SUPER))
                cmd |= DWC3_DEPCMD_CLEARPENDIN;
 
        memset(&params, 0, sizeof(params));
@@ -490,7 +484,8 @@ static int dwc3_gadget_set_ep_config(struct dwc3 *dwc, struct dwc3_ep *dep,
                params.param0 |= DWC3_DEPCFG_ACTION_INIT;
        }
 
-       params.param1 = DWC3_DEPCFG_XFER_COMPLETE_EN;
+       if (usb_endpoint_xfer_control(desc))
+               params.param1 = DWC3_DEPCFG_XFER_COMPLETE_EN;
 
        if (dep->number <= 1 || usb_endpoint_xfer_isoc(desc))
                params.param1 |= DWC3_DEPCFG_XFER_NOT_READY_EN;
@@ -764,6 +759,8 @@ static void dwc3_gadget_ep_free_request(struct usb_ep *ep,
        kfree(req);
 }
 
+static u32 dwc3_calc_trbs_left(struct dwc3_ep *dep);
+
 /**
  * dwc3_prepare_one_trb - setup one TRB from one request
  * @dep: endpoint for which this request is prepared
@@ -771,15 +768,13 @@ static void dwc3_gadget_ep_free_request(struct usb_ep *ep,
  */
 static void dwc3_prepare_one_trb(struct dwc3_ep *dep,
                struct dwc3_request *req, dma_addr_t dma,
-               unsigned length, unsigned last, unsigned chain, unsigned node)
+               unsigned length, unsigned chain, unsigned node)
 {
        struct dwc3_trb         *trb;
 
-       dwc3_trace(trace_dwc3_gadget, "%s: req %p dma %08llx length %d%s%s",
+       dwc3_trace(trace_dwc3_gadget, "%s: req %p dma %08llx length %d%s",
                        dep->name, req, (unsigned long long) dma,
-                       length, last ? " last" : "",
-                       chain ? " chain" : "");
-
+                       length, chain ? " chain" : "");
 
        trb = &dep->trb_pool[dep->trb_enqueue];
 
@@ -826,12 +821,10 @@ static void dwc3_prepare_one_trb(struct dwc3_ep *dep,
        /* always enable Continue on Short Packet */
        trb->ctrl |= DWC3_TRB_CTRL_CSP;
 
-       if (!req->request.no_interrupt && !chain)
+       if ((!req->request.no_interrupt && !chain) ||
+                       (dwc3_calc_trbs_left(dep) == 0))
                trb->ctrl |= DWC3_TRB_CTRL_IOC | DWC3_TRB_CTRL_ISP_IMI;
 
-       if (last && !usb_endpoint_xfer_isoc(dep->endpoint.desc))
-               trb->ctrl |= DWC3_TRB_CTRL_LST;
-
        if (chain)
                trb->ctrl |= DWC3_TRB_CTRL_CHN;
 
@@ -856,12 +849,12 @@ static void dwc3_prepare_one_trb(struct dwc3_ep *dep,
  */
 static struct dwc3_trb *dwc3_ep_prev_trb(struct dwc3_ep *dep, u8 index)
 {
-       if (!index)
-               index = DWC3_TRB_NUM - 2;
-       else
-               index = dep->trb_enqueue - 1;
+       u8 tmp = index;
 
-       return &dep->trb_pool[index];
+       if (!tmp)
+               tmp = DWC3_TRB_NUM - 1;
+
+       return &dep->trb_pool[tmp - 1];
 }
 
 static u32 dwc3_calc_trbs_left(struct dwc3_ep *dep)
@@ -894,65 +887,42 @@ static u32 dwc3_calc_trbs_left(struct dwc3_ep *dep)
 }
 
 static void dwc3_prepare_one_trb_sg(struct dwc3_ep *dep,
-               struct dwc3_request *req, unsigned int trbs_left,
-               unsigned int more_coming)
+               struct dwc3_request *req)
 {
-       struct usb_request *request = &req->request;
-       struct scatterlist *sg = request->sg;
+       struct scatterlist *sg = req->sg;
        struct scatterlist *s;
-       unsigned int    last = false;
        unsigned int    length;
        dma_addr_t      dma;
        int             i;
 
-       for_each_sg(sg, s, request->num_mapped_sgs, i) {
+       for_each_sg(sg, s, req->num_pending_sgs, i) {
                unsigned chain = true;
 
                length = sg_dma_len(s);
                dma = sg_dma_address(s);
 
-               if (sg_is_last(s)) {
-                       if (usb_endpoint_xfer_int(dep->endpoint.desc) ||
-                               !more_coming)
-                               last = true;
-
-                       chain = false;
-               }
-
-               if (!trbs_left--)
-                       last = true;
-
-               if (last)
+               if (sg_is_last(s))
                        chain = false;
 
                dwc3_prepare_one_trb(dep, req, dma, length,
-                               last, chain, i);
+                               chain, i);
 
-               if (last)
+               if (!dwc3_calc_trbs_left(dep))
                        break;
        }
 }
 
 static void dwc3_prepare_one_trb_linear(struct dwc3_ep *dep,
-               struct dwc3_request *req, unsigned int trbs_left,
-               unsigned int more_coming)
+               struct dwc3_request *req)
 {
-       unsigned int    last = false;
        unsigned int    length;
        dma_addr_t      dma;
 
        dma = req->request.dma;
        length = req->request.length;
 
-       if (!trbs_left)
-               last = true;
-
-       /* Is this the last request? */
-       if (usb_endpoint_xfer_int(dep->endpoint.desc) || !more_coming)
-               last = true;
-
        dwc3_prepare_one_trb(dep, req, dma, length,
-                       last, false, 0);
+                       false, 0);
 }
 
 /*
@@ -966,26 +936,19 @@ static void dwc3_prepare_one_trb_linear(struct dwc3_ep *dep,
 static void dwc3_prepare_trbs(struct dwc3_ep *dep)
 {
        struct dwc3_request     *req, *n;
-       unsigned int            more_coming;
-       u32                     trbs_left;
 
        BUILD_BUG_ON_NOT_POWER_OF_2(DWC3_TRB_NUM);
 
-       trbs_left = dwc3_calc_trbs_left(dep);
-       if (!trbs_left)
+       if (!dwc3_calc_trbs_left(dep))
                return;
 
-       more_coming = dep->allocated_requests - dep->queued_requests;
-
        list_for_each_entry_safe(req, n, &dep->pending_list, list) {
-               if (req->request.num_mapped_sgs > 0)
-                       dwc3_prepare_one_trb_sg(dep, req, trbs_left--,
-                                       more_coming);
+               if (req->num_pending_sgs > 0)
+                       dwc3_prepare_one_trb_sg(dep, req);
                else
-                       dwc3_prepare_one_trb_linear(dep, req, trbs_left--,
-                                       more_coming);
+                       dwc3_prepare_one_trb_linear(dep, req);
 
-               if (!trbs_left)
+               if (!dwc3_calc_trbs_left(dep))
                        return;
        }
 }
@@ -1101,93 +1064,29 @@ static int __dwc3_gadget_ep_queue(struct dwc3_ep *dep, struct dwc3_request *req)
 
        trace_dwc3_ep_queue(req);
 
-       /*
-        * We only add to our list of requests now and
-        * start consuming the list once we get XferNotReady
-        * IRQ.
-        *
-        * That way, we avoid doing anything that we don't need
-        * to do now and defer it until the point we receive a
-        * particular token from the Host side.
-        *
-        * This will also avoid Host cancelling URBs due to too
-        * many NAKs.
-        */
        ret = usb_gadget_map_request(&dwc->gadget, &req->request,
                        dep->direction);
        if (ret)
                return ret;
 
-       list_add_tail(&req->list, &dep->pending_list);
-
-       /*
-        * If there are no pending requests and the endpoint isn't already
-        * busy, we will just start the request straight away.
-        *
-        * This will save one IRQ (XFER_NOT_READY) and possibly make it a
-        * little bit faster.
-        */
-       if (!usb_endpoint_xfer_isoc(dep->endpoint.desc) &&
-                       !usb_endpoint_xfer_int(dep->endpoint.desc)) {
-               ret = __dwc3_gadget_kick_transfer(dep, 0);
-               goto out;
-       }
-
-       /*
-        * There are a few special cases:
-        *
-        * 1. XferNotReady with empty list of requests. We need to kick the
-        *    transfer here in that situation, otherwise we will be NAKing
-        *    forever. If we get XferNotReady before gadget driver has a
-        *    chance to queue a request, we will ACK the IRQ but won't be
-        *    able to receive the data until the next request is queued.
-        *    The following code is handling exactly that.
-        *
-        */
-       if (dep->flags & DWC3_EP_PENDING_REQUEST) {
-               /*
-                * If xfernotready is already elapsed and it is a case
-                * of isoc transfer, then issue END TRANSFER, so that
-                * you can receive xfernotready again and can have
-                * notion of current microframe.
-                */
-               if (usb_endpoint_xfer_isoc(dep->endpoint.desc)) {
-                       if (list_empty(&dep->started_list)) {
-                               dwc3_stop_active_transfer(dwc, dep->number, true);
-                               dep->flags = DWC3_EP_ENABLED;
-                       }
-                       return 0;
-               }
-
-               ret = __dwc3_gadget_kick_transfer(dep, 0);
-               if (!ret)
-                       dep->flags &= ~DWC3_EP_PENDING_REQUEST;
+       req->sg                 = req->request.sg;
+       req->num_pending_sgs    = req->request.num_mapped_sgs;
 
-               goto out;
-       }
+       list_add_tail(&req->list, &dep->pending_list);
 
-       /*
-        * 2. XferInProgress on Isoc EP with an active transfer. We need to
-        *    kick the transfer here after queuing a request, otherwise the
-        *    core may not see the modified TRB(s).
-        */
        if (usb_endpoint_xfer_isoc(dep->endpoint.desc) &&
-                       (dep->flags & DWC3_EP_BUSY) &&
-                       !(dep->flags & DWC3_EP_MISSED_ISOC)) {
-               WARN_ON_ONCE(!dep->resource_index);
-               ret = __dwc3_gadget_kick_transfer(dep, dep->resource_index);
-               goto out;
+                       dep->flags & DWC3_EP_PENDING_REQUEST) {
+               if (list_empty(&dep->started_list)) {
+                       dwc3_stop_active_transfer(dwc, dep->number, true);
+                       dep->flags = DWC3_EP_ENABLED;
+               }
+               return 0;
        }
 
-       /*
-        * 4. Stream Capable Bulk Endpoints. We need to start the transfer
-        * right away, otherwise host will not know we have streams to be
-        * handled.
-        */
-       if (dep->stream_capable)
-               ret = __dwc3_gadget_kick_transfer(dep, 0);
+       if (!dwc3_calc_trbs_left(dep))
+               return 0;
 
-out:
+       ret = __dwc3_gadget_kick_transfer(dep, 0);
        if (ret && ret != -EBUSY)
                dwc3_trace(trace_dwc3_gadget,
                                "%s: failed to kick transfers",
@@ -1963,6 +1862,7 @@ static int __dwc3_cleanup_done_trbs(struct dwc3 *dwc, struct dwc3_ep *dep,
        unsigned int            trb_status;
 
        dep->queued_requests--;
+       dwc3_ep_inc_deq(dep);
        trace_dwc3_complete_trb(dep, trb);
 
        /*
@@ -1982,6 +1882,7 @@ static int __dwc3_cleanup_done_trbs(struct dwc3 *dwc, struct dwc3_ep *dep,
                return 1;
 
        count = trb->size & DWC3_TRB_SIZE_MASK;
+       req->request.actual += count;
 
        if (dep->direction) {
                if (count) {
@@ -2021,48 +1922,51 @@ static int __dwc3_cleanup_done_trbs(struct dwc3 *dwc, struct dwc3_ep *dep,
 
        if (s_pkt && !chain)
                return 1;
-       if ((event->status & DEPEVT_STATUS_LST) &&
-                       (trb->ctrl & (DWC3_TRB_CTRL_LST |
-                               DWC3_TRB_CTRL_HWO)))
-               return 1;
+
        if ((event->status & DEPEVT_STATUS_IOC) &&
                        (trb->ctrl & DWC3_TRB_CTRL_IOC))
                return 1;
+
        return 0;
 }
 
 static int dwc3_cleanup_done_reqs(struct dwc3 *dwc, struct dwc3_ep *dep,
                const struct dwc3_event_depevt *event, int status)
 {
-       struct dwc3_request     *req;
+       struct dwc3_request     *req, *n;
        struct dwc3_trb         *trb;
-       unsigned int            slot;
-       unsigned int            i;
-       int                     count = 0;
+       bool                    ioc = false;
        int                     ret;
 
-       do {
+       list_for_each_entry_safe(req, n, &dep->started_list, list) {
+               unsigned length;
+               unsigned actual;
                int chain;
 
-               req = next_request(&dep->started_list);
-               if (WARN_ON_ONCE(!req))
-                       return 1;
-
-               chain = req->request.num_mapped_sgs > 0;
-               i = 0;
-               do {
-                       slot = req->first_trb_index + i;
-                       if (slot == DWC3_TRB_NUM - 1)
-                               slot++;
-                       slot %= DWC3_TRB_NUM;
-                       trb = &dep->trb_pool[slot];
-                       count += trb->size & DWC3_TRB_SIZE_MASK;
+               length = req->request.length;
+               chain = req->num_pending_sgs > 0;
+               if (chain) {
+                       struct scatterlist *sg = req->sg;
+                       struct scatterlist *s;
+                       unsigned int pending = req->num_pending_sgs;
+                       unsigned int i;
 
+                       for_each_sg(sg, s, pending, i) {
+                               trb = &dep->trb_pool[dep->trb_dequeue];
+
+                               req->sg = sg_next(s);
+                               req->num_pending_sgs--;
+
+                               ret = __dwc3_cleanup_done_trbs(dwc, dep, req, trb,
+                                               event, status, chain);
+                               if (ret)
+                                       break;
+                       }
+               } else {
+                       trb = &dep->trb_pool[dep->trb_dequeue];
                        ret = __dwc3_cleanup_done_trbs(dwc, dep, req, trb,
                                        event, status, chain);
-                       if (ret)
-                               break;
-               } while (++i < req->request.num_mapped_sgs);
+               }
 
                /*
                 * We assume here we will always receive the entire data block
@@ -2071,12 +1975,21 @@ static int dwc3_cleanup_done_reqs(struct dwc3 *dwc, struct dwc3_ep *dep,
                 * should receive and we simply bounce the request back to the
                 * gadget driver for further processing.
                 */
-               req->request.actual += req->request.length - count;
+               actual = length - req->request.actual;
+               req->request.actual = actual;
+
+               if (ret && chain && (actual < length) && req->num_pending_sgs)
+                       return __dwc3_gadget_kick_transfer(dep, 0);
+
                dwc3_gadget_giveback(dep, req, status);
 
-               if (ret)
+               if (ret) {
+                       if ((event->status & DEPEVT_STATUS_IOC) &&
+                           (trb->ctrl & DWC3_TRB_CTRL_IOC))
+                               ioc = true;
                        break;
-       } while (1);
+               }
+       }
 
        /*
         * Our endpoint might get disabled by another thread during
@@ -2103,10 +2016,9 @@ static int dwc3_cleanup_done_reqs(struct dwc3 *dwc, struct dwc3_ep *dep,
                return 1;
        }
 
-       if (usb_endpoint_xfer_isoc(dep->endpoint.desc))
-               if ((event->status & DEPEVT_STATUS_IOC) &&
-                               (trb->ctrl & DWC3_TRB_CTRL_IOC))
-                       return 0;
+       if (usb_endpoint_xfer_isoc(dep->endpoint.desc) && ioc)
+               return 0;
+
        return 1;
 }
 
@@ -2322,6 +2234,18 @@ static void dwc3_stop_active_transfer(struct dwc3 *dwc, u32 epnum, bool force)
         *
         * - Issue EndTransfer WITH CMDIOC bit set
         * - Wait 100us
+        *
+        * As of IP version 3.10a of the DWC_usb3 IP, the controller
+        * supports a mode to work around the above limitation. The
+        * software can poll the CMDACT bit in the DEPCMD register
+        * after issuing a EndTransfer command. This mode is enabled
+        * by writing GUCTL2[14]. This polling is already done in the
+        * dwc3_send_gadget_ep_cmd() function so if the mode is
+        * enabled, the EndTransfer command will have completed upon
+        * returning from this function and we don't need to delay for
+        * 100us.
+        *
+        * This mode is NOT available on the DWC_usb31 IP.
         */
 
        cmd = DWC3_DEPCMD_ENDTRANSFER;
@@ -2333,7 +2257,9 @@ static void dwc3_stop_active_transfer(struct dwc3 *dwc, u32 epnum, bool force)
        WARN_ON_ONCE(ret);
        dep->resource_index = 0;
        dep->flags &= ~DWC3_EP_BUSY;
-       udelay(100);
+
+       if (dwc3_is_usb31(dwc) || dwc->revision < DWC3_REVISION_310A)
+               udelay(100);
 }
 
 static void dwc3_stop_active_transfers(struct dwc3 *dwc)
index ec004c6..bd86f84 100644 (file)
@@ -35,9 +35,9 @@ static int dwc3_ulpi_busyloop(struct dwc3 *dwc)
        return -ETIMEDOUT;
 }
 
-static int dwc3_ulpi_read(struct ulpi_ops *ops, u8 addr)
+static int dwc3_ulpi_read(struct device *dev, u8 addr)
 {
-       struct dwc3 *dwc = dev_get_drvdata(ops->dev);
+       struct dwc3 *dwc = dev_get_drvdata(dev);
        u32 reg;
        int ret;
 
@@ -53,9 +53,9 @@ static int dwc3_ulpi_read(struct ulpi_ops *ops, u8 addr)
        return DWC3_GUSB2PHYACC_DATA(reg);
 }
 
-static int dwc3_ulpi_write(struct ulpi_ops *ops, u8 addr, u8 val)
+static int dwc3_ulpi_write(struct device *dev, u8 addr, u8 val)
 {
-       struct dwc3 *dwc = dev_get_drvdata(ops->dev);
+       struct dwc3 *dwc = dev_get_drvdata(dev);
        u32 reg;
 
        reg = DWC3_GUSB2PHYACC_NEWREGREQ | DWC3_ULPI_ADDR(addr);
@@ -65,7 +65,7 @@ static int dwc3_ulpi_write(struct ulpi_ops *ops, u8 addr, u8 val)
        return dwc3_ulpi_busyloop(dwc);
 }
 
-static struct ulpi_ops dwc3_ulpi_ops = {
+static const struct ulpi_ops dwc3_ulpi_ops = {
        .read = dwc3_ulpi_read,
        .write = dwc3_ulpi_write,
 };
index 3c3f31c..8ad2032 100644 (file)
@@ -209,25 +209,6 @@ config USB_F_PRINTER
 config USB_F_TCM
        tristate
 
-choice
-       tristate "USB Gadget Drivers"
-       default USB_ETH
-       help
-         A Linux "Gadget Driver" talks to the USB Peripheral Controller
-         driver through the abstract "gadget" API.  Some other operating
-         systems call these "client" drivers, of which "class drivers"
-         are a subset (implementing a USB device class specification).
-         A gadget driver implements one or more USB functions using
-         the peripheral hardware.
-
-         Gadget drivers are hardware-neutral, or "platform independent",
-         except that they sometimes must understand quirks or limitations
-         of the particular controllers they work with.  For example, when
-         a controller doesn't support alternate configurations or provide
-         enough of the right types of endpoints, the gadget driver might
-         not be able work with that controller, or might need to implement
-         a less common variant of a device class protocol.
-
 # this first set of drivers all depend on bulk-capable hardware.
 
 config USB_CONFIGFS
@@ -439,6 +420,7 @@ config USB_CONFIGFS_F_HID
 config USB_CONFIGFS_F_UVC
        bool "USB Webcam function"
        depends on USB_CONFIGFS
+       depends on VIDEO_V4L2
        depends on VIDEO_DEV
        select VIDEOBUF2_VMALLOC
        select USB_F_UVC
@@ -475,6 +457,25 @@ config USB_CONFIGFS_F_TCM
          Both protocols can work on USB2.0 and USB3.0.
          UAS utilizes the USB 3.0 feature called streams support.
 
+choice
+       tristate "USB Gadget Drivers"
+       default USB_ETH
+       help
+         A Linux "Gadget Driver" talks to the USB Peripheral Controller
+         driver through the abstract "gadget" API.  Some other operating
+         systems call these "client" drivers, of which "class drivers"
+         are a subset (implementing a USB device class specification).
+         A gadget driver implements one or more USB functions using
+         the peripheral hardware.
+
+         Gadget drivers are hardware-neutral, or "platform independent",
+         except that they sometimes must understand quirks or limitations
+         of the particular controllers they work with.  For example, when
+         a controller doesn't support alternate configurations or provide
+         enough of the right types of endpoints, the gadget driver might
+         not be able work with that controller, or might need to implement
+         a less common variant of a device class protocol.
+
 source "drivers/usb/gadget/legacy/Kconfig"
 
 endchoice
index 5ebe6af..32176f7 100644 (file)
@@ -1893,17 +1893,21 @@ unknown:
                /* functions always handle their interfaces and endpoints...
                 * punt other recipients (other, WUSB, ...) to the current
                 * configuration code.
-                *
-                * REVISIT it could make sense to let the composite device
-                * take such requests too, if that's ever needed:  to work
-                * in config 0, etc.
                 */
                if (cdev->config) {
                        list_for_each_entry(f, &cdev->config->functions, list)
-                               if (f->req_match && f->req_match(f, ctrl))
+                               if (f->req_match &&
+                                   f->req_match(f, ctrl, false))
                                        goto try_fun_setup;
-                       f = NULL;
+               } else {
+                       struct usb_configuration *c;
+                       list_for_each_entry(c, &cdev->configs, list)
+                               list_for_each_entry(f, &c->functions, list)
+                                       if (f->req_match &&
+                                           f->req_match(f, ctrl, true))
+                                               goto try_fun_setup;
                }
+               f = NULL;
 
                switch (ctrl->bRequestType & USB_RECIP_MASK) {
                case USB_RECIP_INTERFACE:
index f9237fe..3984787 100644 (file)
@@ -1211,8 +1211,9 @@ static void purge_configs_funcs(struct gadget_info *gi)
 
                        list_move_tail(&f->list, &cfg->func_list);
                        if (f->unbind) {
-                               dev_err(&gi->cdev.gadget->dev, "unbind function"
-                                               " '%s'/%p\n", f->name, f);
+                               dev_dbg(&gi->cdev.gadget->dev,
+                                        "unbind function '%s'/%p\n",
+                                        f->name, f);
                                f->unbind(c, f);
                        }
                }
index 5c8429f..0aeed85 100644 (file)
@@ -98,6 +98,9 @@ static int ffs_func_set_alt(struct usb_function *, unsigned, unsigned);
 static void ffs_func_disable(struct usb_function *);
 static int ffs_func_setup(struct usb_function *,
                          const struct usb_ctrlrequest *);
+static bool ffs_func_req_match(struct usb_function *,
+                              const struct usb_ctrlrequest *,
+                              bool config0);
 static void ffs_func_suspend(struct usb_function *);
 static void ffs_func_resume(struct usb_function *);
 
@@ -2243,7 +2246,9 @@ static int __ffs_data_got_descs(struct ffs_data *ffs,
                              FUNCTIONFS_HAS_SS_DESC |
                              FUNCTIONFS_HAS_MS_OS_DESC |
                              FUNCTIONFS_VIRTUAL_ADDR |
-                             FUNCTIONFS_EVENTFD)) {
+                             FUNCTIONFS_EVENTFD |
+                             FUNCTIONFS_ALL_CTRL_RECIP |
+                             FUNCTIONFS_CONFIG0_SETUP)) {
                        ret = -ENOSYS;
                        goto error;
                }
@@ -3094,8 +3099,9 @@ static int ffs_func_setup(struct usb_function *f,
         * handle them.  All other either handled by composite or
         * passed to usb_configuration->setup() (if one is set).  No
         * matter, we will handle requests directed to endpoint here
-        * as well (as it's straightforward) but what to do with any
-        * other request?
+        * as well (as it's straightforward).  Other request recipient
+        * types are only handled when the user flag FUNCTIONFS_ALL_CTRL_RECIP
+        * is being used.
         */
        if (ffs->state != FFS_ACTIVE)
                return -ENODEV;
@@ -3116,7 +3122,10 @@ static int ffs_func_setup(struct usb_function *f,
                break;
 
        default:
-               return -EOPNOTSUPP;
+               if (func->ffs->user_flags & FUNCTIONFS_ALL_CTRL_RECIP)
+                       ret = le16_to_cpu(creq->wIndex);
+               else
+                       return -EOPNOTSUPP;
        }
 
        spin_lock_irqsave(&ffs->ev.waitq.lock, flags);
@@ -3128,6 +3137,28 @@ static int ffs_func_setup(struct usb_function *f,
        return 0;
 }
 
+static bool ffs_func_req_match(struct usb_function *f,
+                              const struct usb_ctrlrequest *creq,
+                              bool config0)
+{
+       struct ffs_function *func = ffs_func_from_usb(f);
+
+       if (config0 && !(func->ffs->user_flags & FUNCTIONFS_CONFIG0_SETUP))
+               return false;
+
+       switch (creq->bRequestType & USB_RECIP_MASK) {
+       case USB_RECIP_INTERFACE:
+               return ffs_func_revmap_intf(func,
+                                           le16_to_cpu(creq->wIndex) >= 0);
+       case USB_RECIP_ENDPOINT:
+               return ffs_func_revmap_ep(func,
+                                         le16_to_cpu(creq->wIndex) >= 0);
+       default:
+               return (bool) (func->ffs->user_flags &
+                              FUNCTIONFS_ALL_CTRL_RECIP);
+       }
+}
+
 static void ffs_func_suspend(struct usb_function *f)
 {
        ENTER();
@@ -3378,6 +3409,7 @@ static struct usb_function *ffs_alloc(struct usb_function_instance *fi)
        func->function.set_alt = ffs_func_set_alt;
        func->function.disable = ffs_func_disable;
        func->function.setup   = ffs_func_setup;
+       func->function.req_match = ffs_func_req_match;
        func->function.suspend = ffs_func_suspend;
        func->function.resume  = ffs_func_resume;
        func->function.free_func = ffs_free;
@@ -3470,6 +3502,11 @@ static void _ffs_free_dev(struct ffs_dev *dev)
        list_del(&dev->entry);
        if (dev->name_allocated)
                kfree(dev->name);
+
+       /* Clear the private_data pointer to stop incorrect dev access */
+       if (dev->ffs_data)
+               dev->ffs_data->private_data = NULL;
+
        kfree(dev);
        if (list_empty(&ffs_devices))
                functionfs_cleanup();
index 51980c5..e2966f8 100644 (file)
@@ -365,7 +365,7 @@ static int f_hidg_open(struct inode *inode, struct file *fd)
 static inline struct usb_request *hidg_alloc_ep_req(struct usb_ep *ep,
                                                    unsigned length)
 {
-       return alloc_ep_req(ep, length, length);
+       return alloc_ep_req(ep, length);
 }
 
 static void hidg_set_report_complete(struct usb_ep *ep, struct usb_request *req)
@@ -617,14 +617,10 @@ static int hidg_bind(struct usb_configuration *c, struct usb_function *f)
 
        /* preallocate request and buffer */
        status = -ENOMEM;
-       hidg->req = usb_ep_alloc_request(hidg->in_ep, GFP_KERNEL);
+       hidg->req = alloc_ep_req(hidg->in_ep, hidg->report_length);
        if (!hidg->req)
                goto fail;
 
-       hidg->req->buf = kmalloc(hidg->report_length, GFP_KERNEL);
-       if (!hidg->req->buf)
-               goto fail;
-
        /* set descriptor dynamic values */
        hidg_interface_desc.bInterfaceSubClass = hidg->bInterfaceSubClass;
        hidg_interface_desc.bInterfaceProtocol = hidg->bInterfaceProtocol;
@@ -677,11 +673,8 @@ fail_free_descs:
        usb_free_all_descriptors(f);
 fail:
        ERROR(f->config->cdev, "hidg_bind FAILED\n");
-       if (hidg->req != NULL) {
-               kfree(hidg->req->buf);
-               if (hidg->in_ep != NULL)
-                       usb_ep_free_request(hidg->in_ep, hidg->req);
-       }
+       if (hidg->req != NULL)
+               free_ep_req(hidg->in_ep, hidg->req);
 
        return status;
 }
@@ -809,11 +802,21 @@ end:
 
 CONFIGFS_ATTR(f_hid_opts_, report_desc);
 
+static ssize_t f_hid_opts_dev_show(struct config_item *item, char *page)
+{
+       struct f_hid_opts *opts = to_f_hid_opts(item);
+
+       return sprintf(page, "%d:%d\n", major, opts->minor);
+}
+
+CONFIGFS_ATTR_RO(f_hid_opts_, dev);
+
 static struct configfs_attribute *hid_attrs[] = {
        &f_hid_opts_attr_subclass,
        &f_hid_opts_attr_protocol,
        &f_hid_opts_attr_report_length,
        &f_hid_opts_attr_report_desc,
+       &f_hid_opts_attr_dev,
        NULL,
 };
 
@@ -910,8 +913,7 @@ static void hidg_unbind(struct usb_configuration *c, struct usb_function *f)
 
        /* disable/free request and end point */
        usb_ep_disable(hidg->in_ep);
-       kfree(hidg->req->buf);
-       usb_ep_free_request(hidg->in_ep, hidg->req);
+       free_ep_req(hidg->in_ep, hidg->req);
 
        usb_free_all_descriptors(f);
 }
index 3a9f8f9..e700938 100644 (file)
@@ -308,9 +308,7 @@ static void disable_loopback(struct f_loopback *loop)
 
 static inline struct usb_request *lb_alloc_ep_req(struct usb_ep *ep, int len)
 {
-       struct f_loopback       *loop = ep->driver_data;
-
-       return alloc_ep_req(ep, len, loop->buflen);
+       return alloc_ep_req(ep, len);
 }
 
 static int alloc_requests(struct usb_composite_dev *cdev,
@@ -333,7 +331,7 @@ static int alloc_requests(struct usb_composite_dev *cdev,
                if (!in_req)
                        goto fail;
 
-               out_req = lb_alloc_ep_req(loop->out_ep, 0);
+               out_req = lb_alloc_ep_req(loop->out_ep, loop->buflen);
                if (!out_req)
                        goto fail_in;
 
@@ -593,13 +591,9 @@ DECLARE_USB_FUNCTION(Loopback, loopback_alloc_instance, loopback_alloc);
 
 int __init lb_modinit(void)
 {
-       int ret;
-
-       ret = usb_function_register(&Loopbackusb_func);
-       if (ret)
-               return ret;
-       return ret;
+       return usb_function_register(&Loopbackusb_func);
 }
+
 void __exit lb_modexit(void)
 {
        usb_function_unregister(&Loopbackusb_func);
index 2505117..8f3659b 100644 (file)
@@ -311,11 +311,7 @@ struct fsg_common {
        /* Gadget's private data. */
        void                    *private_data;
 
-       /*
-        * Vendor (8 chars), product (16 chars), release (4
-        * hexadecimal digits) and NUL byte
-        */
-       char inquiry_string[8 + 16 + 4 + 1];
+       char inquiry_string[INQUIRY_STRING_LEN];
 
        struct kref             ref;
 };
@@ -1107,7 +1103,12 @@ static int do_inquiry(struct fsg_common *common, struct fsg_buffhd *bh)
        buf[5] = 0;             /* No special options */
        buf[6] = 0;
        buf[7] = 0;
-       memcpy(buf + 8, common->inquiry_string, sizeof common->inquiry_string);
+       if (curlun->inquiry_string[0])
+               memcpy(buf + 8, curlun->inquiry_string,
+                      sizeof(curlun->inquiry_string));
+       else
+               memcpy(buf + 8, common->inquiry_string,
+                      sizeof(common->inquiry_string));
        return 36;
 }
 
@@ -3209,12 +3210,27 @@ static ssize_t fsg_lun_opts_nofua_store(struct config_item *item,
 
 CONFIGFS_ATTR(fsg_lun_opts_, nofua);
 
+static ssize_t fsg_lun_opts_inquiry_string_show(struct config_item *item,
+                                               char *page)
+{
+       return fsg_show_inquiry_string(to_fsg_lun_opts(item)->lun, page);
+}
+
+static ssize_t fsg_lun_opts_inquiry_string_store(struct config_item *item,
+                                                const char *page, size_t len)
+{
+       return fsg_store_inquiry_string(to_fsg_lun_opts(item)->lun, page, len);
+}
+
+CONFIGFS_ATTR(fsg_lun_opts_, inquiry_string);
+
 static struct configfs_attribute *fsg_lun_attrs[] = {
        &fsg_lun_opts_attr_file,
        &fsg_lun_opts_attr_ro,
        &fsg_lun_opts_attr_removable,
        &fsg_lun_opts_attr_cdrom,
        &fsg_lun_opts_attr_nofua,
+       &fsg_lun_opts_attr_inquiry_string,
        NULL,
 };
 
index b6a9918..d390231 100644 (file)
@@ -100,6 +100,7 @@ struct fsg_lun_config {
        char removable;
        char cdrom;
        char nofua;
+       char inquiry_string[INQUIRY_STRING_LEN];
 };
 
 struct fsg_config {
index 58fc199..a5719f2 100644 (file)
@@ -51,6 +51,19 @@ static const char f_midi_longname[] = "MIDI Gadget";
  */
 #define MAX_PORTS 16
 
+/* MIDI message states */
+enum {
+       STATE_INITIAL = 0,      /* pseudo state */
+       STATE_1PARAM,
+       STATE_2PARAM_1,
+       STATE_2PARAM_2,
+       STATE_SYSEX_0,
+       STATE_SYSEX_1,
+       STATE_SYSEX_2,
+       STATE_REAL_TIME,
+       STATE_FINISHED,         /* pseudo state */
+};
+
 /*
  * This is a gadget, and the IN/OUT naming is from the host's perspective.
  * USB -> OUT endpoint -> rawmidi
@@ -61,13 +74,6 @@ struct gmidi_in_port {
        int active;
        uint8_t cable;
        uint8_t state;
-#define STATE_UNKNOWN  0
-#define STATE_1PARAM   1
-#define STATE_2PARAM_1 2
-#define STATE_2PARAM_2 3
-#define STATE_SYSEX_0  4
-#define STATE_SYSEX_1  5
-#define STATE_SYSEX_2  6
        uint8_t data[2];
 };
 
@@ -205,7 +211,7 @@ static struct usb_gadget_strings *midi_strings[] = {
 static inline struct usb_request *midi_alloc_ep_req(struct usb_ep *ep,
                                                    unsigned length)
 {
-       return alloc_ep_req(ep, length, length);
+       return alloc_ep_req(ep, length);
 }
 
 static const uint8_t f_midi_cin_length[] = {
@@ -299,6 +305,19 @@ f_midi_complete(struct usb_ep *ep, struct usb_request *req)
        }
 }
 
+static void f_midi_drop_out_substreams(struct f_midi *midi)
+{
+       unsigned int i;
+
+       for (i = 0; i < midi->in_ports; i++) {
+               struct gmidi_in_port *port = midi->in_ports_array + i;
+               struct snd_rawmidi_substream *substream = port->substream;
+
+               if (port->active && substream)
+                       snd_rawmidi_drop_output(substream);
+       }
+}
+
 static int f_midi_start_ep(struct f_midi *midi,
                           struct usb_function *f,
                           struct usb_ep *ep)
@@ -360,9 +379,8 @@ static int f_midi_set_alt(struct usb_function *f, unsigned intf, unsigned alt)
        /* allocate a bunch of read buffers and queue them all at once. */
        for (i = 0; i < midi->qlen && err == 0; i++) {
                struct usb_request *req =
-                       midi_alloc_ep_req(midi->out_ep,
-                               max_t(unsigned, midi->buflen,
-                                       bulk_out_desc.wMaxPacketSize));
+                       midi_alloc_ep_req(midi->out_ep, midi->buflen);
+
                if (req == NULL)
                        return -ENOMEM;
 
@@ -397,6 +415,8 @@ static void f_midi_disable(struct usb_function *f)
        /* release IN requests */
        while (kfifo_get(&midi->in_req_fifo, &req))
                free_ep_req(midi->in_ep, req);
+
+       f_midi_drop_out_substreams(midi);
 }
 
 static int f_midi_snd_free(struct snd_device *device)
@@ -404,130 +424,166 @@ static int f_midi_snd_free(struct snd_device *device)
        return 0;
 }
 
-static void f_midi_transmit_packet(struct usb_request *req, uint8_t p0,
-                                       uint8_t p1, uint8_t p2, uint8_t p3)
-{
-       unsigned length = req->length;
-       u8 *buf = (u8 *)req->buf + length;
-
-       buf[0] = p0;
-       buf[1] = p1;
-       buf[2] = p2;
-       buf[3] = p3;
-       req->length = length + 4;
-}
-
 /*
  * Converts MIDI commands to USB MIDI packets.
  */
 static void f_midi_transmit_byte(struct usb_request *req,
                                 struct gmidi_in_port *port, uint8_t b)
 {
-       uint8_t p0 = port->cable << 4;
+       uint8_t p[4] = { port->cable << 4, 0, 0, 0 };
+       uint8_t next_state = STATE_INITIAL;
+
+       switch (b) {
+       case 0xf8 ... 0xff:
+               /* System Real-Time Messages */
+               p[0] |= 0x0f;
+               p[1] = b;
+               next_state = port->state;
+               port->state = STATE_REAL_TIME;
+               break;
 
-       if (b >= 0xf8) {
-               f_midi_transmit_packet(req, p0 | 0x0f, b, 0, 0);
-       } else if (b >= 0xf0) {
+       case 0xf7:
+               /* End of SysEx */
+               switch (port->state) {
+               case STATE_SYSEX_0:
+                       p[0] |= 0x05;
+                       p[1] = 0xf7;
+                       next_state = STATE_FINISHED;
+                       break;
+               case STATE_SYSEX_1:
+                       p[0] |= 0x06;
+                       p[1] = port->data[0];
+                       p[2] = 0xf7;
+                       next_state = STATE_FINISHED;
+                       break;
+               case STATE_SYSEX_2:
+                       p[0] |= 0x07;
+                       p[1] = port->data[0];
+                       p[2] = port->data[1];
+                       p[3] = 0xf7;
+                       next_state = STATE_FINISHED;
+                       break;
+               default:
+                       /* Ignore byte */
+                       next_state = port->state;
+                       port->state = STATE_INITIAL;
+               }
+               break;
+
+       case 0xf0 ... 0xf6:
+               /* System Common Messages */
+               port->data[0] = port->data[1] = 0;
+               port->state = STATE_INITIAL;
                switch (b) {
                case 0xf0:
                        port->data[0] = b;
-                       port->state = STATE_SYSEX_1;
+                       port->data[1] = 0;
+                       next_state = STATE_SYSEX_1;
                        break;
                case 0xf1:
                case 0xf3:
                        port->data[0] = b;
-                       port->state = STATE_1PARAM;
+                       next_state = STATE_1PARAM;
                        break;
                case 0xf2:
                        port->data[0] = b;
-                       port->state = STATE_2PARAM_1;
+                       next_state = STATE_2PARAM_1;
                        break;
                case 0xf4:
                case 0xf5:
-                       port->state = STATE_UNKNOWN;
+                       next_state = STATE_INITIAL;
                        break;
                case 0xf6:
-                       f_midi_transmit_packet(req, p0 | 0x05, 0xf6, 0, 0);
-                       port->state = STATE_UNKNOWN;
-                       break;
-               case 0xf7:
-                       switch (port->state) {
-                       case STATE_SYSEX_0:
-                               f_midi_transmit_packet(req,
-                                       p0 | 0x05, 0xf7, 0, 0);
-                               break;
-                       case STATE_SYSEX_1:
-                               f_midi_transmit_packet(req,
-                                       p0 | 0x06, port->data[0], 0xf7, 0);
-                               break;
-                       case STATE_SYSEX_2:
-                               f_midi_transmit_packet(req,
-                                       p0 | 0x07, port->data[0],
-                                       port->data[1], 0xf7);
-                               break;
-                       }
-                       port->state = STATE_UNKNOWN;
+                       p[0] |= 0x05;
+                       p[1] = 0xf6;
+                       next_state = STATE_FINISHED;
                        break;
                }
-       } else if (b >= 0x80) {
+               break;
+
+       case 0x80 ... 0xef:
+               /*
+                * Channel Voice Messages, Channel Mode Messages
+                * and Control Change Messages.
+                */
                port->data[0] = b;
+               port->data[1] = 0;
+               port->state = STATE_INITIAL;
                if (b >= 0xc0 && b <= 0xdf)
-                       port->state = STATE_1PARAM;
+                       next_state = STATE_1PARAM;
                else
-                       port->state = STATE_2PARAM_1;
-       } else { /* b < 0x80 */
+                       next_state = STATE_2PARAM_1;
+               break;
+
+       case 0x00 ... 0x7f:
+               /* Message parameters */
                switch (port->state) {
                case STATE_1PARAM:
-                       if (port->data[0] < 0xf0) {
-                               p0 |= port->data[0] >> 4;
-                       } else {
-                               p0 |= 0x02;
-                               port->state = STATE_UNKNOWN;
-                       }
-                       f_midi_transmit_packet(req, p0, port->data[0], b, 0);
+                       if (port->data[0] < 0xf0)
+                               p[0] |= port->data[0] >> 4;
+                       else
+                               p[0] |= 0x02;
+
+                       p[1] = port->data[0];
+                       p[2] = b;
+                       /* This is to allow Running State Messages */
+                       next_state = STATE_1PARAM;
                        break;
                case STATE_2PARAM_1:
                        port->data[1] = b;
-                       port->state = STATE_2PARAM_2;
+                       next_state = STATE_2PARAM_2;
                        break;
                case STATE_2PARAM_2:
-                       if (port->data[0] < 0xf0) {
-                               p0 |= port->data[0] >> 4;
-                               port->state = STATE_2PARAM_1;
-                       } else {
-                               p0 |= 0x03;
-                               port->state = STATE_UNKNOWN;
-                       }
-                       f_midi_transmit_packet(req,
-                               p0, port->data[0], port->data[1], b);
+                       if (port->data[0] < 0xf0)
+                               p[0] |= port->data[0] >> 4;
+                       else
+                               p[0] |= 0x03;
+
+                       p[1] = port->data[0];
+                       p[2] = port->data[1];
+                       p[3] = b;
+                       /* This is to allow Running State Messages */
+                       next_state = STATE_2PARAM_1;
                        break;
                case STATE_SYSEX_0:
                        port->data[0] = b;
-                       port->state = STATE_SYSEX_1;
+                       next_state = STATE_SYSEX_1;
                        break;
                case STATE_SYSEX_1:
                        port->data[1] = b;
-                       port->state = STATE_SYSEX_2;
+                       next_state = STATE_SYSEX_2;
                        break;
                case STATE_SYSEX_2:
-                       f_midi_transmit_packet(req,
-                               p0 | 0x04, port->data[0], port->data[1], b);
-                       port->state = STATE_SYSEX_0;
+                       p[0] |= 0x04;
+                       p[1] = port->data[0];
+                       p[2] = port->data[1];
+                       p[3] = b;
+                       next_state = STATE_SYSEX_0;
                        break;
                }
+               break;
        }
-}
 
-static void f_midi_drop_out_substreams(struct f_midi *midi)
-{
-       unsigned int i;
+       /* States where we have to write into the USB request */
+       if (next_state == STATE_FINISHED ||
+           port->state == STATE_SYSEX_2 ||
+           port->state == STATE_1PARAM ||
+           port->state == STATE_2PARAM_2 ||
+           port->state == STATE_REAL_TIME) {
 
-       for (i = 0; i < midi->in_ports; i++) {
-               struct gmidi_in_port *port = midi->in_ports_array + i;
-               struct snd_rawmidi_substream *substream = port->substream;
-               if (port->active && substream)
-                       snd_rawmidi_drop_output(substream);
+               unsigned int length = req->length;
+               u8 *buf = (u8 *)req->buf + length;
+
+               memcpy(buf, p, sizeof(p));
+               req->length = length + sizeof(p);
+
+               if (next_state == STATE_FINISHED) {
+                       next_state = STATE_INITIAL;
+                       port->data[0] = port->data[1] = 0;
+               }
        }
+
+       port->state = next_state;
 }
 
 static int f_midi_do_transmit(struct f_midi *midi, struct usb_ep *ep)
@@ -642,7 +698,7 @@ static int f_midi_in_open(struct snd_rawmidi_substream *substream)
        VDBG(midi, "%s()\n", __func__);
        port = midi->in_ports_array + substream->number;
        port->substream = substream;
-       port->state = STATE_UNKNOWN;
+       port->state = STATE_INITIAL;
        return 0;
 }
 
@@ -1123,7 +1179,7 @@ static struct usb_function_instance *f_midi_alloc_inst(void)
        opts->func_inst.free_func_inst = f_midi_free_inst;
        opts->index = SNDRV_DEFAULT_IDX1;
        opts->id = SNDRV_DEFAULT_STR1;
-       opts->buflen = 256;
+       opts->buflen = 512;
        opts->qlen = 32;
        opts->in_ports = 1;
        opts->out_ports = 1;
index 97f0a9b..6396037 100644 (file)
@@ -90,7 +90,9 @@ static inline struct f_ncm *func_to_ncm(struct usb_function *f)
 /* peak (theoretical) bulk transfer rate in bits-per-second */
 static inline unsigned ncm_bitrate(struct usb_gadget *g)
 {
-       if (gadget_is_dualspeed(g) && g->speed == USB_SPEED_HIGH)
+       if (gadget_is_superspeed(g) && g->speed == USB_SPEED_SUPER)
+               return 13 * 1024 * 8 * 1000 * 8;
+       else if (gadget_is_dualspeed(g) && g->speed == USB_SPEED_HIGH)
                return 13 * 512 * 8 * 1000 * 8;
        else
                return 19 *  64 * 1 * 1000 * 8;
@@ -333,6 +335,76 @@ static struct usb_descriptor_header *ncm_hs_function[] = {
        NULL,
 };
 
+
+/* super speed support: */
+
+static struct usb_endpoint_descriptor ss_ncm_notify_desc = {
+       .bLength =              USB_DT_ENDPOINT_SIZE,
+       .bDescriptorType =      USB_DT_ENDPOINT,
+
+       .bEndpointAddress =     USB_DIR_IN,
+       .bmAttributes =         USB_ENDPOINT_XFER_INT,
+       .wMaxPacketSize =       cpu_to_le16(NCM_STATUS_BYTECOUNT),
+       .bInterval =            USB_MS_TO_HS_INTERVAL(NCM_STATUS_INTERVAL_MS)
+};
+
+static struct usb_ss_ep_comp_descriptor ss_ncm_notify_comp_desc = {
+       .bLength =              sizeof(ss_ncm_notify_comp_desc),
+       .bDescriptorType =      USB_DT_SS_ENDPOINT_COMP,
+
+       /* the following 3 values can be tweaked if necessary */
+       /* .bMaxBurst =         0, */
+       /* .bmAttributes =      0, */
+       .wBytesPerInterval =    cpu_to_le16(NCM_STATUS_BYTECOUNT),
+};
+
+static struct usb_endpoint_descriptor ss_ncm_in_desc = {
+       .bLength =              USB_DT_ENDPOINT_SIZE,
+       .bDescriptorType =      USB_DT_ENDPOINT,
+
+       .bEndpointAddress =     USB_DIR_IN,
+       .bmAttributes =         USB_ENDPOINT_XFER_BULK,
+       .wMaxPacketSize =       cpu_to_le16(1024),
+};
+
+static struct usb_endpoint_descriptor ss_ncm_out_desc = {
+       .bLength =              USB_DT_ENDPOINT_SIZE,
+       .bDescriptorType =      USB_DT_ENDPOINT,
+
+       .bEndpointAddress =     USB_DIR_OUT,
+       .bmAttributes =         USB_ENDPOINT_XFER_BULK,
+       .wMaxPacketSize =       cpu_to_le16(1024),
+};
+
+static struct usb_ss_ep_comp_descriptor ss_ncm_bulk_comp_desc = {
+       .bLength =              sizeof(ss_ncm_bulk_comp_desc),
+       .bDescriptorType =      USB_DT_SS_ENDPOINT_COMP,
+
+       /* the following 2 values can be tweaked if necessary */
+       /* .bMaxBurst =         0, */
+       /* .bmAttributes =      0, */
+};
+
+static struct usb_descriptor_header *ncm_ss_function[] = {
+       (struct usb_descriptor_header *) &ncm_iad_desc,
+       /* CDC NCM control descriptors */
+       (struct usb_descriptor_header *) &ncm_control_intf,
+       (struct usb_descriptor_header *) &ncm_header_desc,
+       (struct usb_descriptor_header *) &ncm_union_desc,
+       (struct usb_descriptor_header *) &ecm_desc,
+       (struct usb_descriptor_header *) &ncm_desc,
+       (struct usb_descriptor_header *) &ss_ncm_notify_desc,
+       (struct usb_descriptor_header *) &ss_ncm_notify_comp_desc,
+       /* data interface, altsettings 0 and 1 */
+       (struct usb_descriptor_header *) &ncm_data_nop_intf,
+       (struct usb_descriptor_header *) &ncm_data_intf,
+       (struct usb_descriptor_header *) &ss_ncm_in_desc,
+       (struct usb_descriptor_header *) &ss_ncm_bulk_comp_desc,
+       (struct usb_descriptor_header *) &ss_ncm_out_desc,
+       (struct usb_descriptor_header *) &ss_ncm_bulk_comp_desc,
+       NULL,
+};
+
 /* string descriptors: */
 
 #define STRING_CTRL_IDX        0
@@ -852,6 +924,8 @@ static int ncm_set_alt(struct usb_function *f, unsigned intf, unsigned alt)
                         */
                        ncm->port.is_zlp_ok =
                                gadget_is_zlp_supported(cdev->gadget);
+                       ncm->port.no_skb_reserve =
+                               gadget_avoids_skb_reserve(cdev->gadget);
                        ncm->port.cdc_filter = DEFAULT_FILTER;
                        DBG(cdev, "activate ncm\n");
                        net = gether_connect(&ncm->port);
@@ -1431,8 +1505,13 @@ static int ncm_bind(struct usb_configuration *c, struct usb_function *f)
        hs_ncm_notify_desc.bEndpointAddress =
                fs_ncm_notify_desc.bEndpointAddress;
 
+       ss_ncm_in_desc.bEndpointAddress = fs_ncm_in_desc.bEndpointAddress;
+       ss_ncm_out_desc.bEndpointAddress = fs_ncm_out_desc.bEndpointAddress;
+       ss_ncm_notify_desc.bEndpointAddress =
+               fs_ncm_notify_desc.bEndpointAddress;
+
        status = usb_assign_descriptors(f, ncm_fs_function, ncm_hs_function,
-                       NULL, NULL);
+                       ncm_ss_function, NULL);
        if (status)
                goto fail;
 
@@ -1450,6 +1529,7 @@ static int ncm_bind(struct usb_configuration *c, struct usb_function *f)
        ncm->task_timer.function = ncm_tx_timeout;
 
        DBG(cdev, "CDC Network: %s speed IN/%s OUT/%s NOTIFY/%s\n",
+                       gadget_is_superspeed(c->cdev->gadget) ? "super" :
                        gadget_is_dualspeed(c->cdev->gadget) ? "dual" : "full",
                        ncm->port.in_ep->name, ncm->port.out_ep->name,
                        ncm->notify->name);
index 64706a7..0de36cd 100644 (file)
@@ -889,13 +889,17 @@ static void printer_soft_reset(struct printer_dev *dev)
 /*-------------------------------------------------------------------------*/
 
 static bool gprinter_req_match(struct usb_function *f,
-                              const struct usb_ctrlrequest *ctrl)
+                              const struct usb_ctrlrequest *ctrl,
+                              bool config0)
 {
        struct printer_dev      *dev = func_to_printer(f);
        u16                     w_index = le16_to_cpu(ctrl->wIndex);
        u16                     w_value = le16_to_cpu(ctrl->wValue);
        u16                     w_length = le16_to_cpu(ctrl->wLength);
 
+       if (config0)
+               return false;
+
        if ((ctrl->bRequestType & USB_RECIP_MASK) != USB_RECIP_INTERFACE ||
            (ctrl->bRequestType & USB_TYPE_MASK) != USB_TYPE_CLASS)
                return false;
index df0189d..8784fa1 100644 (file)
@@ -293,9 +293,7 @@ static struct usb_gadget_strings *sourcesink_strings[] = {
 
 static inline struct usb_request *ss_alloc_ep_req(struct usb_ep *ep, int len)
 {
-       struct f_sourcesink             *ss = ep->driver_data;
-
-       return alloc_ep_req(ep, len, ss->buflen);
+       return alloc_ep_req(ep, len);
 }
 
 static void disable_ep(struct usb_composite_dev *cdev, struct usb_ep *ep)
@@ -606,7 +604,7 @@ static int source_sink_start_ep(struct f_sourcesink *ss, bool is_in,
        } else {
                ep = is_in ? ss->in_ep : ss->out_ep;
                qlen = ss->bulk_qlen;
-               size = 0;
+               size = ss->buflen;
        }
 
        for (i = 0; i < qlen; i++) {
index 29b41b5..27ed51b 100644 (file)
@@ -258,6 +258,13 @@ uvc_function_setup(struct usb_function *f, const struct usb_ctrlrequest *ctrl)
        memcpy(&uvc_event->req, ctrl, sizeof(uvc_event->req));
        v4l2_event_queue(&uvc->vdev, &v4l2_event);
 
+       /* Pass additional setup data to userspace */
+       if (uvc->event_setup_out && uvc->event_length) {
+               uvc->control_req->length = uvc->event_length;
+               return usb_ep_queue(uvc->func.config->cdev->gadget->ep0,
+                       uvc->control_req, GFP_ATOMIC);
+       }
+
        return 0;
 }
 
index 990df22..8fbf686 100644 (file)
@@ -369,6 +369,12 @@ ssize_t fsg_show_removable(struct fsg_lun *curlun, char *buf)
 }
 EXPORT_SYMBOL_GPL(fsg_show_removable);
 
+ssize_t fsg_show_inquiry_string(struct fsg_lun *curlun, char *buf)
+{
+       return sprintf(buf, "%s\n", curlun->inquiry_string);
+}
+EXPORT_SYMBOL_GPL(fsg_show_inquiry_string);
+
 /*
  * The caller must hold fsg->filesem for reading when calling this function.
  */
@@ -499,4 +505,22 @@ ssize_t fsg_store_removable(struct fsg_lun *curlun, const char *buf,
 }
 EXPORT_SYMBOL_GPL(fsg_store_removable);
 
+ssize_t fsg_store_inquiry_string(struct fsg_lun *curlun, const char *buf,
+                                size_t count)
+{
+       const size_t len = min(count, sizeof(curlun->inquiry_string));
+
+       if (len == 0 || buf[0] == '\n') {
+               curlun->inquiry_string[0] = 0;
+       } else {
+               snprintf(curlun->inquiry_string,
+                        sizeof(curlun->inquiry_string), "%-28s", buf);
+               if (curlun->inquiry_string[len-1] == '\n')
+                       curlun->inquiry_string[len-1] = ' ';
+       }
+
+       return count;
+}
+EXPORT_SYMBOL_GPL(fsg_store_inquiry_string);
+
 MODULE_LICENSE("GPL");
index c3544e6..e698489 100644 (file)
@@ -88,6 +88,12 @@ do {                                                                 \
 #define ASC(x)         ((u8) ((x) >> 8))
 #define ASCQ(x)                ((u8) (x))
 
+/*
+ * Vendor (8 chars), product (16 chars), release (4 hexadecimal digits) and NUL
+ * byte
+ */
+#define INQUIRY_STRING_LEN ((size_t) (8 + 16 + 4 + 1))
+
 struct fsg_lun {
        struct file     *filp;
        loff_t          file_length;
@@ -112,6 +118,7 @@ struct fsg_lun {
        struct device   dev;
        const char      *name;          /* "lun.name" */
        const char      **name_pfx;     /* "function.name" */
+       char            inquiry_string[INQUIRY_STRING_LEN];
 };
 
 static inline bool fsg_lun_is_open(struct fsg_lun *curlun)
@@ -210,6 +217,7 @@ ssize_t fsg_show_ro(struct fsg_lun *curlun, char *buf);
 ssize_t fsg_show_nofua(struct fsg_lun *curlun, char *buf);
 ssize_t fsg_show_file(struct fsg_lun *curlun, struct rw_semaphore *filesem,
                      char *buf);
+ssize_t fsg_show_inquiry_string(struct fsg_lun *curlun, char *buf);
 ssize_t fsg_show_cdrom(struct fsg_lun *curlun, char *buf);
 ssize_t fsg_show_removable(struct fsg_lun *curlun, char *buf);
 ssize_t fsg_store_ro(struct fsg_lun *curlun, struct rw_semaphore *filesem,
@@ -221,5 +229,7 @@ ssize_t fsg_store_cdrom(struct fsg_lun *curlun, struct rw_semaphore *filesem,
                        const char *buf, size_t count);
 ssize_t fsg_store_removable(struct fsg_lun *curlun, const char *buf,
                            size_t count);
+ssize_t fsg_store_inquiry_string(struct fsg_lun *curlun, const char *buf,
+                                size_t count);
 
 #endif /* USB_STORAGE_COMMON_H */
index 5f562c1..9c8c9ed 100644 (file)
@@ -82,6 +82,7 @@ struct eth_dev {
 #define        WORK_RX_MEMORY          0
 
        bool                    zlp;
+       bool                    no_skb_reserve;
        u8                      host_mac[ETH_ALEN];
        u8                      dev_mac[ETH_ALEN];
 };
@@ -233,7 +234,8 @@ rx_submit(struct eth_dev *dev, struct usb_request *req, gfp_t gfp_flags)
         * but on at least one, checksumming fails otherwise.  Note:
         * RNDIS headers involve variable numbers of LE32 values.
         */
-       skb_reserve(skb, NET_IP_ALIGN);
+       if (likely(!dev->no_skb_reserve))
+               skb_reserve(skb, NET_IP_ALIGN);
 
        req->buf = skb->data;
        req->length = size;
@@ -569,7 +571,8 @@ static netdev_tx_t eth_start_xmit(struct sk_buff *skb,
        req->complete = tx_complete;
 
        /* NCM requires no zlp if transfer is dwNtbInMaxSize */
-       if (dev->port_usb->is_fixed &&
+       if (dev->port_usb &&
+           dev->port_usb->is_fixed &&
            length == dev->port_usb->fixed_in_len &&
            (length % in->maxpacket) == 0)
                req->zero = 0;
@@ -1063,6 +1066,7 @@ struct net_device *gether_connect(struct gether *link)
 
        if (result == 0) {
                dev->zlp = link->is_zlp_ok;
+               dev->no_skb_reserve = link->no_skb_reserve;
                DBG(dev, "qlen %d\n", qlen(dev->gadget, dev->qmult));
 
                dev->header_len = link->header_len;
index c77145b..81d94a7 100644 (file)
@@ -64,6 +64,7 @@ struct gether {
        struct usb_ep                   *out_ep;
 
        bool                            is_zlp_ok;
+       bool                            no_skb_reserve;
 
        u16                             cdc_filter;
 
index fc2ac15..0bf39c3 100644 (file)
@@ -47,7 +47,7 @@ static char *id = SNDRV_DEFAULT_STR1;
 module_param(id, charp, S_IRUGO);
 MODULE_PARM_DESC(id, "ID string for the USB MIDI Gadget adapter.");
 
-static unsigned int buflen = 256;
+static unsigned int buflen = 512;
 module_param(buflen, uint, S_IRUGO);
 MODULE_PARM_DESC(buflen, "MIDI buffer length");
 
index 4bc7eea..1883973 100644 (file)
  */
 
 #include "u_f.h"
+#include <linux/usb/ch9.h>
 
-struct usb_request *alloc_ep_req(struct usb_ep *ep, int len, int default_len)
+struct usb_request *alloc_ep_req(struct usb_ep *ep, size_t len)
 {
        struct usb_request      *req;
 
        req = usb_ep_alloc_request(ep, GFP_ATOMIC);
        if (req) {
-               req->length = len ?: default_len;
+               req->length = usb_endpoint_dir_out(ep->desc) ?
+                       usb_ep_align(ep, len) : len;
                req->buf = kmalloc(req->length, GFP_ATOMIC);
                if (!req->buf) {
                        usb_ep_free_request(ep, req);
index 4247cc0..7d53a47 100644 (file)
 struct usb_ep;
 struct usb_request;
 
-/* Requests allocated via alloc_ep_req() must be freed by free_ep_req(). */
-struct usb_request *alloc_ep_req(struct usb_ep *ep, int len, int default_len);
+/**
+ * alloc_ep_req - returns a usb_request allocated by the gadget driver and
+ * allocates the request's buffer.
+ *
+ * @ep: the endpoint to allocate a usb_request
+ * @len: usb_requests's buffer suggested size
+ *
+ * In case @ep direction is OUT, the @len will be aligned to ep's
+ * wMaxPacketSize. In order to avoid memory leaks or drops, *always* use
+ * usb_requests's length (req->length) to refer to the allocated buffer size.
+ * Requests allocated via alloc_ep_req() *must* be freed by free_ep_req().
+ */
+struct usb_request *alloc_ep_req(struct usb_ep *ep, size_t len);
+
+/* Frees a usb_request previously allocated by alloc_ep_req() */
 static inline void free_ep_req(struct usb_ep *ep, struct usb_request *req)
 {
        kfree(req->buf);
index 40c04bb..9483489 100644 (file)
@@ -107,10 +107,8 @@ int usb_ep_enable(struct usb_ep *ep)
                goto out;
 
        ret = ep->ops->enable(ep, ep->desc);
-       if (ret) {
-               ret = ret;
+       if (ret)
                goto out;
-       }
 
        ep->enabled = true;
 
index 8bb011e..4fff51b 100644 (file)
@@ -421,10 +421,8 @@ static int qe_ep_rxbd_update(struct qe_ep *ep)
        bd = ep->rxbase;
 
        ep->rxframe = kmalloc(sizeof(*ep->rxframe), GFP_ATOMIC);
-       if (ep->rxframe == NULL) {
-               dev_err(ep->udc->dev, "malloc rxframe failed\n");
+       if (!ep->rxframe)
                return -ENOMEM;
-       }
 
        qe_frame_init(ep->rxframe);
 
@@ -435,9 +433,7 @@ static int qe_ep_rxbd_update(struct qe_ep *ep)
 
        size = (ep->ep.maxpacket + USB_CRC_SIZE + 2) * (bdring_len + 1);
        ep->rxbuffer = kzalloc(size, GFP_ATOMIC);
-       if (ep->rxbuffer == NULL) {
-               dev_err(ep->udc->dev, "malloc rxbuffer failed,size=%d\n",
-                               size);
+       if (!ep->rxbuffer) {
                kfree(ep->rxframe);
                return -ENOMEM;
        }
@@ -668,10 +664,8 @@ static int qe_ep_init(struct qe_udc *udc,
 
        if ((ep->tm == USBP_TM_CTL) || (ep->dir == USB_DIR_IN)) {
                ep->txframe = kmalloc(sizeof(*ep->txframe), GFP_ATOMIC);
-               if (ep->txframe == NULL) {
-                       dev_err(udc->dev, "malloc txframe failed\n");
+               if (!ep->txframe)
                        goto en_done2;
-               }
                qe_frame_init(ep->txframe);
        }
 
@@ -2344,10 +2338,8 @@ static struct qe_udc *qe_udc_config(struct platform_device *ofdev)
        u32 offset;
 
        udc = kzalloc(sizeof(*udc), GFP_KERNEL);
-       if (udc == NULL) {
-               dev_err(&ofdev->dev, "malloc udc failed\n");
+       if (!udc)
                goto cleanup;
-       }
 
        udc->dev = &ofdev->dev;
 
index d2205d9..5107987 100644 (file)
@@ -1767,8 +1767,7 @@ static int goku_probe(struct pci_dev *pdev, const struct pci_device_id *id)
 
        /* alloc, and start init */
        dev = kzalloc (sizeof *dev, GFP_KERNEL);
-       if (dev == NULL){
-               pr_debug("enomem %s\n", pci_name(pdev));
+       if (!dev) {
                retval = -ENOMEM;
                goto err;
        }
@@ -1839,6 +1838,8 @@ static int goku_probe(struct pci_dev *pdev, const struct pci_device_id *id)
 err:
        if (dev)
                goku_remove (pdev);
+       /* gadget_release is not registered yet, kfree explicitly */
+       kfree(dev);
        return retval;
 }
 
index 614ab95..61c938c 100644 (file)
@@ -589,7 +589,7 @@ static void net2280_free_request(struct usb_ep *_ep, struct usb_request *_req)
 
        ep = container_of(_ep, struct net2280_ep, ep);
        if (!_ep || !_req) {
-               dev_err(&ep->dev->pdev->dev, "%s: Inavlid ep=%p or req=%p\n",
+               dev_err(&ep->dev->pdev->dev, "%s: Invalid ep=%p or req=%p\n",
                                                        __func__, _ep, _req);
                return;
        }
@@ -1137,8 +1137,10 @@ dma_done(struct net2280_ep *ep,  struct net2280_request *req, u32 dmacount,
        done(ep, req, status);
 }
 
-static void scan_dma_completions(struct net2280_ep *ep)
+static int scan_dma_completions(struct net2280_ep *ep)
 {
+       int num_completed = 0;
+
        /* only look at descriptors that were "naturally" retired,
         * so fifo and list head state won't matter
         */
@@ -1166,6 +1168,7 @@ static void scan_dma_completions(struct net2280_ep *ep)
                                break;
                        /* single transfer mode */
                        dma_done(ep, req, tmp, 0);
+                       num_completed++;
                        break;
                } else if (!ep->is_in &&
                           (req->req.length % ep->ep.maxpacket) &&
@@ -1194,7 +1197,10 @@ static void scan_dma_completions(struct net2280_ep *ep)
                        }
                }
                dma_done(ep, req, tmp, 0);
+               num_completed++;
        }
+
+       return num_completed;
 }
 
 static void restart_dma(struct net2280_ep *ep)
@@ -1567,6 +1573,44 @@ static struct usb_ep *net2280_match_ep(struct usb_gadget *_gadget,
                        return ep;
        }
 
+       /* USB3380: Only first four endpoints have DMA channels. Allocate
+        * slower interrupt endpoints from PIO hw endpoints, to allow bulk/isoc
+        * endpoints use DMA hw endpoints.
+        */
+       if (usb_endpoint_type(desc) == USB_ENDPOINT_XFER_INT &&
+           usb_endpoint_dir_in(desc)) {
+               ep = gadget_find_ep_by_name(_gadget, "ep2in");
+               if (ep && usb_gadget_ep_match_desc(_gadget, ep, desc, ep_comp))
+                       return ep;
+               ep = gadget_find_ep_by_name(_gadget, "ep4in");
+               if (ep && usb_gadget_ep_match_desc(_gadget, ep, desc, ep_comp))
+                       return ep;
+       } else if (usb_endpoint_type(desc) == USB_ENDPOINT_XFER_INT &&
+                  !usb_endpoint_dir_in(desc)) {
+               ep = gadget_find_ep_by_name(_gadget, "ep1out");
+               if (ep && usb_gadget_ep_match_desc(_gadget, ep, desc, ep_comp))
+                       return ep;
+               ep = gadget_find_ep_by_name(_gadget, "ep3out");
+               if (ep && usb_gadget_ep_match_desc(_gadget, ep, desc, ep_comp))
+                       return ep;
+       } else if (usb_endpoint_type(desc) != USB_ENDPOINT_XFER_BULK &&
+                  usb_endpoint_dir_in(desc)) {
+               ep = gadget_find_ep_by_name(_gadget, "ep1in");
+               if (ep && usb_gadget_ep_match_desc(_gadget, ep, desc, ep_comp))
+                       return ep;
+               ep = gadget_find_ep_by_name(_gadget, "ep3in");
+               if (ep && usb_gadget_ep_match_desc(_gadget, ep, desc, ep_comp))
+                       return ep;
+       } else if (usb_endpoint_type(desc) != USB_ENDPOINT_XFER_BULK &&
+                  !usb_endpoint_dir_in(desc)) {
+               ep = gadget_find_ep_by_name(_gadget, "ep2out");
+               if (ep && usb_gadget_ep_match_desc(_gadget, ep, desc, ep_comp))
+                       return ep;
+               ep = gadget_find_ep_by_name(_gadget, "ep4out");
+               if (ep && usb_gadget_ep_match_desc(_gadget, ep, desc, ep_comp))
+                       return ep;
+       }
+
        /* USB3380: use same address for usb and hardware endpoints */
        snprintf(name, sizeof(name), "ep%d%s", usb_endpoint_num(desc),
                        usb_endpoint_dir_in(desc) ? "in" : "out");
@@ -2547,8 +2591,11 @@ static void handle_ep_small(struct net2280_ep *ep)
        /* manual DMA queue advance after short OUT */
        if (likely(ep->dma)) {
                if (t & BIT(SHORT_PACKET_TRANSFERRED_INTERRUPT)) {
-                       u32     count;
+                       struct net2280_request *stuck_req = NULL;
                        int     stopped = ep->stopped;
+                       int     num_completed;
+                       int     stuck = 0;
+                       u32     count;
 
                        /* TRANSFERRED works around OUT_DONE erratum 0112.
                         * we expect (N <= maxpacket) bytes; host wrote M.
@@ -2560,7 +2607,7 @@ static void handle_ep_small(struct net2280_ep *ep)
                                /* any preceding dma transfers must finish.
                                 * dma handles (M >= N), may empty the queue
                                 */
-                               scan_dma_completions(ep);
+                               num_completed = scan_dma_completions(ep);
                                if (unlikely(list_empty(&ep->queue) ||
                                                ep->out_overflow)) {
                                        req = NULL;
@@ -2580,6 +2627,31 @@ static void handle_ep_small(struct net2280_ep *ep)
                                                req = NULL;
                                        break;
                                }
+
+                               /* Escape loop if no dma transfers completed
+                                * after few retries.
+                                */
+                               if (num_completed == 0) {
+                                       if (stuck_req == req &&
+                                           readl(&ep->dma->dmadesc) !=
+                                                 req->td_dma && stuck++ > 5) {
+                                               count = readl(
+                                                       &ep->dma->dmacount);
+                                               count &= DMA_BYTE_COUNT_MASK;
+                                               req = NULL;
+                                               ep_dbg(ep->dev, "%s escape stuck %d, count %u\n",
+                                                       ep->ep.name, stuck,
+                                                       count);
+                                               break;
+                                       } else if (stuck_req != req) {
+                                               stuck_req = req;
+                                               stuck = 0;
+                                       }
+                               } else {
+                                       stuck_req = NULL;
+                                       stuck = 0;
+                               }
+
                                udelay(1);
                        }
 
index 9b7d394..a8709f9 100644 (file)
@@ -2875,7 +2875,7 @@ bad_on_1710:
        xceiv = NULL;
        /* "udc" is now valid */
        pullup_disable(udc);
-#if    defined(CONFIG_USB_OHCI_HCD) || defined(CONFIG_USB_OHCI_HCD_MODULE)
+#if    IS_ENABLED(CONFIG_USB_OHCI_HCD)
        udc->gadget.is_otg = (config->otg != 0);
 #endif
 
index ad140aa..7fa60f5 100644 (file)
@@ -33,6 +33,7 @@
 #include <linux/usb.h>
 #include <linux/usb/ch9.h>
 #include <linux/usb/gadget.h>
+#include <linux/usb/phy.h>
 
 #include "pxa27x_udc.h"
 
@@ -1655,6 +1656,37 @@ static int pxa_udc_vbus_draw(struct usb_gadget *_gadget, unsigned mA)
        return -EOPNOTSUPP;
 }
 
+/**
+ * pxa_udc_phy_event - Called by phy upon VBus event
+ * @nb: notifier block
+ * @action: phy action, is vbus connect or disconnect
+ * @data: the usb_gadget structure in pxa_udc
+ *
+ * Called by the USB Phy when a cable connect or disconnect is sensed.
+ *
+ * Returns 0
+ */
+static int pxa_udc_phy_event(struct notifier_block *nb, unsigned long action,
+                            void *data)
+{
+       struct usb_gadget *gadget = data;
+
+       switch (action) {
+       case USB_EVENT_VBUS:
+               usb_gadget_vbus_connect(gadget);
+               return NOTIFY_OK;
+       case USB_EVENT_NONE:
+               usb_gadget_vbus_disconnect(gadget);
+               return NOTIFY_OK;
+       default:
+               return NOTIFY_DONE;
+       }
+}
+
+static struct notifier_block pxa27x_udc_phy = {
+       .notifier_call = pxa_udc_phy_event,
+};
+
 static int pxa27x_udc_start(struct usb_gadget *g,
                struct usb_gadget_driver *driver);
 static int pxa27x_udc_stop(struct usb_gadget *g);
@@ -2432,7 +2464,14 @@ static int pxa_udc_probe(struct platform_device *pdev)
                return udc->irq;
 
        udc->dev = &pdev->dev;
-       udc->transceiver = usb_get_phy(USB_PHY_TYPE_USB2);
+       if (of_have_populated_dt()) {
+               udc->transceiver =
+                       devm_usb_get_phy_by_phandle(udc->dev, "phys", 0);
+               if (IS_ERR(udc->transceiver))
+                       return PTR_ERR(udc->transceiver);
+       } else {
+               udc->transceiver = usb_get_phy(USB_PHY_TYPE_USB2);
+       }
 
        if (IS_ERR(udc->gpiod)) {
                dev_err(&pdev->dev, "Couldn't find or request D+ gpio : %ld\n",
@@ -2465,14 +2504,20 @@ static int pxa_udc_probe(struct platform_device *pdev)
                goto err;
        }
 
+       if (!IS_ERR_OR_NULL(udc->transceiver))
+               usb_register_notifier(udc->transceiver, &pxa27x_udc_phy);
        retval = usb_add_gadget_udc(&pdev->dev, &udc->gadget);
        if (retval)
-               goto err;
+               goto err_add_gadget;
 
        pxa_init_debugfs(udc);
        if (should_enable_udc(udc))
                udc_enable(udc);
        return 0;
+
+err_add_gadget:
+       if (!IS_ERR_OR_NULL(udc->transceiver))
+               usb_unregister_notifier(udc->transceiver, &pxa27x_udc_phy);
 err:
        clk_unprepare(udc->clk);
        return retval;
@@ -2489,6 +2534,8 @@ static int pxa_udc_remove(struct platform_device *_dev)
        usb_del_gadget_udc(&udc->gadget);
        pxa_cleanup_debugfs(udc);
 
+       if (!IS_ERR_OR_NULL(udc->transceiver))
+               usb_unregister_notifier(udc->transceiver, &pxa27x_udc_phy);
        usb_put_phy(udc->transceiver);
 
        udc->transceiver = NULL;
index f8bf290..588e253 100644 (file)
@@ -973,10 +973,8 @@ static struct usb_request *xudc_ep_alloc_request(struct usb_ep *_ep,
 
        udc = ep->udc;
        req = kzalloc(sizeof(*req), gfp_flags);
-       if (!req) {
-               dev_err(udc->dev, "%s:not enough memory", __func__);
+       if (!req)
                return NULL;
-       }
 
        req->ep = ep;
        INIT_LIST_HEAD(&req->queue);
index 2e710a4..0b80cee 100644 (file)
@@ -472,7 +472,7 @@ config USB_OHCI_HCD_AT91
 
 config USB_OHCI_HCD_OMAP3
        tristate "OHCI support for OMAP3 and later chips"
-       depends on (ARCH_OMAP3 || ARCH_OMAP4)
+       depends on (ARCH_OMAP3 || ARCH_OMAP4 || SOC_OMAP5)
        default y
        ---help---
          Enables support for the on-chip OHCI controller on
index 172ef17..5f425c8 100644 (file)
@@ -27,6 +27,7 @@
 #include <linux/slab.h>
 #include <linux/of.h>
 #include <linux/of_gpio.h>
+#include <linux/of_platform.h>
 #include <linux/usb/ehci_pdriver.h>
 #include <linux/usb/ohci_pdriver.h>
 
@@ -34,6 +35,9 @@ MODULE_AUTHOR("Hauke Mehrtens");
 MODULE_DESCRIPTION("Common USB driver for BCMA Bus");
 MODULE_LICENSE("GPL");
 
+/* See BCMA_CLKCTLST_EXTRESREQ and BCMA_CLKCTLST_EXTRESST */
+#define USB_BCMA_CLKCTLST_USB_CLK_REQ                  0x00000100
+
 struct bcma_hcd_device {
        struct bcma_device *core;
        struct platform_device *ehci_dev;
@@ -165,44 +169,80 @@ static void bcma_hcd_init_chip_mips(struct bcma_device *dev)
        }
 }
 
-static void bcma_hcd_init_chip_arm_phy(struct bcma_device *dev)
+/**
+ * bcma_hcd_usb20_old_arm_init - Initialize old USB 2.0 controller on ARM
+ *
+ * Old USB 2.0 core is identified as BCMA_CORE_USB20_HOST and was introduced
+ * long before Northstar devices. It seems some cheaper chipsets like BCM53573
+ * still use it.
+ * Initialization of this old core differs between MIPS and ARM.
+ */
+static int bcma_hcd_usb20_old_arm_init(struct bcma_hcd_device *usb_dev)
 {
-       struct bcma_device *arm_core;
-       void __iomem *dmu;
-
-       arm_core = bcma_find_core(dev->bus, BCMA_CORE_ARMCA9);
-       if (!arm_core) {
-               dev_err(&dev->dev, "can not find ARM Cortex A9 ihost core\n");
-               return;
+       struct bcma_device *core = usb_dev->core;
+       struct device *dev = &core->dev;
+       struct bcma_device *pmu_core;
+
+       usleep_range(10000, 20000);
+       if (core->id.rev < 5)
+               return 0;
+
+       pmu_core = bcma_find_core(core->bus, BCMA_CORE_PMU);
+       if (!pmu_core) {
+               dev_err(dev, "Could not find PMU core\n");
+               return -ENOENT;
        }
 
-       dmu = ioremap_nocache(arm_core->addr_s[0], 0x1000);
-       if (!dmu) {
-               dev_err(&dev->dev, "can not map ARM Cortex A9 ihost core\n");
-               return;
-       }
-
-       /* Unlock DMU PLL settings */
-       iowrite32(0x0000ea68, dmu + 0x180);
-
-       /* Write USB 2.0 PLL control setting */
-       iowrite32(0x00dd10c3, dmu + 0x164);
+       /* Take USB core out of reset */
+       bcma_awrite32(core, BCMA_IOCTL, BCMA_IOCTL_CLK | BCMA_IOCTL_FGC);
+       usleep_range(100, 200);
+       bcma_awrite32(core, BCMA_RESET_CTL, BCMA_RESET_CTL_RESET);
+       usleep_range(100, 200);
+       bcma_awrite32(core, BCMA_RESET_CTL, 0);
+       usleep_range(100, 200);
+       bcma_awrite32(core, BCMA_IOCTL, BCMA_IOCTL_CLK);
+       usleep_range(100, 200);
+
+       /* Enable Misc PLL */
+       bcma_write32(core, BCMA_CLKCTLST, BCMA_CLKCTLST_FORCEHT |
+                                         BCMA_CLKCTLST_HQCLKREQ |
+                                         USB_BCMA_CLKCTLST_USB_CLK_REQ);
+       usleep_range(100, 200);
+
+       bcma_write32(core, 0x510, 0xc7f85000);
+       bcma_write32(core, 0x510, 0xc7f85003);
+       usleep_range(300, 600);
+
+       /* Program USB PHY PLL parameters */
+       bcma_write32(pmu_core, BCMA_CC_PMU_PLLCTL_ADDR, 0x6);
+       bcma_write32(pmu_core, BCMA_CC_PMU_PLLCTL_DATA, 0x005360c1);
+       usleep_range(100, 200);
+       bcma_write32(pmu_core, BCMA_CC_PMU_PLLCTL_ADDR, 0x7);
+       bcma_write32(pmu_core, BCMA_CC_PMU_PLLCTL_DATA, 0x0);
+       usleep_range(100, 200);
+       bcma_set32(pmu_core, BCMA_CC_PMU_CTL, BCMA_CC_PMU_CTL_PLL_UPD);
+       usleep_range(100, 200);
+
+       bcma_write32(core, 0x510, 0x7f8d007);
+       udelay(1000);
+
+       /* Take controller out of reset */
+       bcma_write32(core, 0x200, 0x4ff);
+       usleep_range(25, 50);
+       bcma_write32(core, 0x200, 0x6ff);
+       usleep_range(25, 50);
+       bcma_write32(core, 0x200, 0x7ff);
+       usleep_range(25, 50);
+
+       of_platform_default_populate(dev->of_node, NULL, dev);
 
-       /* Lock DMU PLL settings */
-       iowrite32(0x00000000, dmu + 0x180);
-
-       iounmap(dmu);
+       return 0;
 }
 
-static void bcma_hcd_init_chip_arm_hc(struct bcma_device *dev)
+static void bcma_hcd_usb20_ns_init_hc(struct bcma_device *dev)
 {
        u32 val;
 
-       /*
-        * Delay after PHY initialized to ensure HC is ready to be configured
-        */
-       usleep_range(1000, 2000);
-
        /* Set packet buffer OUT threshold */
        val = bcma_read32(dev, 0x94);
        val &= 0xffff;
@@ -213,20 +253,33 @@ static void bcma_hcd_init_chip_arm_hc(struct bcma_device *dev)
        val = bcma_read32(dev, 0x9c);
        val |= 1;
        bcma_write32(dev, 0x9c, val);
+
+       /*
+        * Broadcom initializes PHY and then waits to ensure HC is ready to be
+        * configured. In our case the order is reversed. We just initialized
+        * controller and we let HCD initialize PHY, so let's wait (sleep) now.
+        */
+       usleep_range(1000, 2000);
 }
 
-static void bcma_hcd_init_chip_arm(struct bcma_device *dev)
+/**
+ * bcma_hcd_usb20_ns_init - Initialize Northstar USB 2.0 controller
+ */
+static int bcma_hcd_usb20_ns_init(struct bcma_hcd_device *bcma_hcd)
 {
-       bcma_core_enable(dev, 0);
+       struct bcma_device *core = bcma_hcd->core;
+       struct bcma_chipinfo *ci = &core->bus->chipinfo;
+       struct device *dev = &core->dev;
 
-       if (dev->bus->chipinfo.id == BCMA_CHIP_ID_BCM4707 ||
-           dev->bus->chipinfo.id == BCMA_CHIP_ID_BCM53018) {
-               if (dev->bus->chipinfo.pkg == BCMA_PKG_ID_BCM4707 ||
-                   dev->bus->chipinfo.pkg == BCMA_PKG_ID_BCM4708)
-                       bcma_hcd_init_chip_arm_phy(dev);
+       bcma_core_enable(core, 0);
 
-               bcma_hcd_init_chip_arm_hc(dev);
-       }
+       if (ci->id == BCMA_CHIP_ID_BCM4707 ||
+           ci->id == BCMA_CHIP_ID_BCM53018)
+               bcma_hcd_usb20_ns_init_hc(core);
+
+       of_platform_default_populate(dev->of_node, NULL, dev);
+
+       return 0;
 }
 
 static void bcma_hci_platform_power_gpio(struct bcma_device *dev, bool val)
@@ -299,16 +352,7 @@ static int bcma_hcd_usb20_init(struct bcma_hcd_device *usb_dev)
        if (dma_set_mask_and_coherent(dev->dma_dev, DMA_BIT_MASK(32)))
                return -EOPNOTSUPP;
 
-       switch (dev->id.id) {
-       case BCMA_CORE_NS_USB20:
-               bcma_hcd_init_chip_arm(dev);
-               break;
-       case BCMA_CORE_USB20_HOST:
-               bcma_hcd_init_chip_mips(dev);
-               break;
-       default:
-               return -ENODEV;
-       }
+       bcma_hcd_init_chip_mips(dev);
 
        /* In AI chips EHCI is addrspace 0, OHCI is 1 */
        ohci_addr = dev->addr_s[0];
@@ -338,6 +382,18 @@ err_unregister_ohci_dev:
        return err;
 }
 
+static int bcma_hcd_usb30_init(struct bcma_hcd_device *bcma_hcd)
+{
+       struct bcma_device *core = bcma_hcd->core;
+       struct device *dev = &core->dev;
+
+       bcma_core_enable(core, 0);
+
+       of_platform_default_populate(dev->of_node, NULL, dev);
+
+       return 0;
+}
+
 static int bcma_hcd_probe(struct bcma_device *core)
 {
        int err;
@@ -357,14 +413,24 @@ static int bcma_hcd_probe(struct bcma_device *core)
 
        switch (core->id.id) {
        case BCMA_CORE_USB20_HOST:
+               if (IS_ENABLED(CONFIG_ARM))
+                       err = bcma_hcd_usb20_old_arm_init(usb_dev);
+               else if (IS_ENABLED(CONFIG_MIPS))
+                       err = bcma_hcd_usb20_init(usb_dev);
+               else
+                       err = -ENOTSUPP;
+               break;
        case BCMA_CORE_NS_USB20:
-               err = bcma_hcd_usb20_init(usb_dev);
-               if (err)
-                       return err;
+               err = bcma_hcd_usb20_ns_init(usb_dev);
+               break;
+       case BCMA_CORE_NS_USB30:
+               err = bcma_hcd_usb30_init(usb_dev);
                break;
        default:
                return -ENODEV;
        }
+       if (err)
+               return err;
 
        bcma_set_drvdata(core, usb_dev);
        return 0;
@@ -416,6 +482,7 @@ static int bcma_hcd_resume(struct bcma_device *dev)
 static const struct bcma_device_id bcma_hcd_table[] = {
        BCMA_CORE(BCMA_MANUF_BCM, BCMA_CORE_USB20_HOST, BCMA_ANY_REV, BCMA_ANY_CLASS),
        BCMA_CORE(BCMA_MANUF_BCM, BCMA_CORE_NS_USB20, BCMA_ANY_REV, BCMA_ANY_CLASS),
+       BCMA_CORE(BCMA_MANUF_BCM, BCMA_CORE_NS_USB30, BCMA_ANY_REV, BCMA_ANY_CLASS),
        {},
 };
 MODULE_DEVICE_TABLE(bcma, bcma_hcd_table);
index 6816b8c..876dca4 100644 (file)
@@ -38,7 +38,7 @@
 #include "ehci.h"
 
 #define DRIVER_DESC "EHCI generic platform driver"
-#define EHCI_MAX_CLKS 3
+#define EHCI_MAX_CLKS 4
 #define EHCI_MAX_RSTS 3
 #define hcd_to_ehci_priv(h) ((struct ehci_platform_priv *)hcd_to_ehci(h)->priv)
 
index 0960f41..55a0ae6 100644 (file)
@@ -310,10 +310,8 @@ static struct fhci_usb *fhci_create_lld(struct fhci_hcd *fhci)
 
        /* allocate memory for SCC data structure */
        usb = kzalloc(sizeof(*usb), GFP_KERNEL);
-       if (!usb) {
-               fhci_err(fhci, "no memory for SCC data struct\n");
+       if (!usb)
                return NULL;
-       }
 
        usb->fhci = fhci;
        usb->hc_list = fhci->hc_list;
index 1044b0f..f07ccb2 100644 (file)
@@ -222,23 +222,17 @@ static int fsl_usb2_mph_dr_of_probe(struct platform_device *ofdev)
        pdata->controller_ver = usb_get_ver_info(np);
 
        /* Activate Erratum by reading property in device tree */
-       if (of_get_property(np, "fsl,usb-erratum-a007792", NULL))
-               pdata->has_fsl_erratum_a007792 = 1;
-       else
-               pdata->has_fsl_erratum_a007792 = 0;
-       if (of_get_property(np, "fsl,usb-erratum-a005275", NULL))
-               pdata->has_fsl_erratum_a005275 = 1;
-       else
-               pdata->has_fsl_erratum_a005275 = 0;
+       pdata->has_fsl_erratum_a007792 =
+               of_property_read_bool(np, "fsl,usb-erratum-a007792");
+       pdata->has_fsl_erratum_a005275 =
+               of_property_read_bool(np, "fsl,usb-erratum-a005275");
 
        /*
         * Determine whether phy_clk_valid needs to be checked
         * by reading property in device tree
         */
-       if (of_get_property(np, "phy-clk-valid", NULL))
-               pdata->check_phy_clk_valid = 1;
-       else
-               pdata->check_phy_clk_valid = 0;
+       pdata->check_phy_clk_valid =
+               of_property_read_bool(np, "phy-clk-valid");
 
        if (pdata->have_sysif_regs) {
                if (pdata->controller_ver == FSL_USB_VER_NONE) {
index 2f76900..369869a 100644 (file)
@@ -1856,15 +1856,11 @@ max3421_probe(struct spi_device *spi)
        INIT_LIST_HEAD(&max3421_hcd->ep_list);
 
        max3421_hcd->tx = kmalloc(sizeof(*max3421_hcd->tx), GFP_KERNEL);
-       if (!max3421_hcd->tx) {
-               dev_err(&spi->dev, "failed to kmalloc tx buffer\n");
+       if (!max3421_hcd->tx)
                goto error;
-       }
        max3421_hcd->rx = kmalloc(sizeof(*max3421_hcd->rx), GFP_KERNEL);
-       if (!max3421_hcd->rx) {
-               dev_err(&spi->dev, "failed to kmalloc rx buffer\n");
+       if (!max3421_hcd->rx)
                goto error;
-       }
 
        max3421_hcd->spi_thread = kthread_run(max3421_spi_thread, hcd,
                                              "max3421_spi_thread");
index d177372..5b5880c 100644 (file)
 #include <linux/io.h>
 #include <linux/kernel.h>
 #include <linux/module.h>
+#include <linux/mfd/syscon.h>
+#include <linux/regmap.h>
 #include <linux/usb.h>
 #include <linux/usb/hcd.h>
+#include <soc/at91/atmel-sfr.h>
 
 #include "ohci.h"
 
@@ -51,6 +54,7 @@ struct ohci_at91_priv {
        struct clk *hclk;
        bool clocked;
        bool wakeup;            /* Saved wake-up state for resume */
+       struct regmap *sfr_regmap;
 };
 /* interface and function clocks; sometimes also an AHB clock */
 
@@ -134,6 +138,17 @@ static void at91_stop_hc(struct platform_device *pdev)
 
 static void usb_hcd_at91_remove (struct usb_hcd *, struct platform_device *);
 
+static struct regmap *at91_dt_syscon_sfr(void)
+{
+       struct regmap *regmap;
+
+       regmap = syscon_regmap_lookup_by_compatible("atmel,sama5d2-sfr");
+       if (IS_ERR(regmap))
+               regmap = NULL;
+
+       return regmap;
+}
+
 /* configure so an HC device and id are always provided */
 /* always called with process context; sleeping is OK */
 
@@ -197,6 +212,10 @@ static int usb_hcd_at91_probe(const struct hc_driver *driver,
                goto err;
        }
 
+       ohci_at91->sfr_regmap = at91_dt_syscon_sfr();
+       if (!ohci_at91->sfr_regmap)
+               dev_warn(dev, "failed to find sfr node\n");
+
        board = hcd->self.controller->platform_data;
        ohci = hcd_to_ohci(hcd);
        ohci->num_ports = board->ports;
@@ -282,6 +301,28 @@ static int ohci_at91_hub_status_data(struct usb_hcd *hcd, char *buf)
        return length;
 }
 
+static int ohci_at91_port_suspend(struct regmap *regmap, u8 set)
+{
+       u32 regval;
+       int ret;
+
+       if (!regmap)
+               return 0;
+
+       ret = regmap_read(regmap, AT91_SFR_OHCIICR, &regval);
+       if (ret)
+               return ret;
+
+       if (set)
+               regval |= AT91_OHCIICR_USB_SUSPEND;
+       else
+               regval &= ~AT91_OHCIICR_USB_SUSPEND;
+
+       regmap_write(regmap, AT91_SFR_OHCIICR, regval);
+
+       return 0;
+}
+
 /*
  * Look at the control requests to the root hub and see if we need to override.
  */
@@ -289,6 +330,7 @@ static int ohci_at91_hub_control(struct usb_hcd *hcd, u16 typeReq, u16 wValue,
                                 u16 wIndex, char *buf, u16 wLength)
 {
        struct at91_usbh_data *pdata = dev_get_platdata(hcd->self.controller);
+       struct ohci_at91_priv *ohci_at91 = hcd_to_ohci_at91_priv(hcd);
        struct usb_hub_descriptor *desc;
        int ret = -EINVAL;
        u32 *data = (u32 *)buf;
@@ -301,7 +343,8 @@ static int ohci_at91_hub_control(struct usb_hcd *hcd, u16 typeReq, u16 wValue,
 
        switch (typeReq) {
        case SetPortFeature:
-               if (wValue == USB_PORT_FEAT_POWER) {
+               switch (wValue) {
+               case USB_PORT_FEAT_POWER:
                        dev_dbg(hcd->self.controller, "SetPortFeat: POWER\n");
                        if (valid_port(wIndex)) {
                                ohci_at91_usb_set_power(pdata, wIndex, 1);
@@ -309,6 +352,15 @@ static int ohci_at91_hub_control(struct usb_hcd *hcd, u16 typeReq, u16 wValue,
                        }
 
                        goto out;
+
+               case USB_PORT_FEAT_SUSPEND:
+                       dev_dbg(hcd->self.controller, "SetPortFeat: SUSPEND\n");
+                       if (valid_port(wIndex)) {
+                               ohci_at91_port_suspend(ohci_at91->sfr_regmap,
+                                                      1);
+                               return 0;
+                       }
+                       break;
                }
                break;
 
@@ -342,6 +394,16 @@ static int ohci_at91_hub_control(struct usb_hcd *hcd, u16 typeReq, u16 wValue,
                                ohci_at91_usb_set_power(pdata, wIndex, 0);
                                return 0;
                        }
+                       break;
+
+               case USB_PORT_FEAT_SUSPEND:
+                       dev_dbg(hcd->self.controller, "ClearPortFeature: SUSPEND\n");
+                       if (valid_port(wIndex)) {
+                               ohci_at91_port_suspend(ohci_at91->sfr_regmap,
+                                                      0);
+                               return 0;
+                       }
+                       break;
                }
                break;
        }
@@ -599,6 +661,8 @@ ohci_hcd_at91_drv_suspend(struct device *dev)
        if (ohci_at91->wakeup)
                enable_irq_wake(hcd->irq);
 
+       ohci_at91_port_suspend(ohci_at91->sfr_regmap, 1);
+
        ret = ohci_suspend(hcd, ohci_at91->wakeup);
        if (ret) {
                if (ohci_at91->wakeup)
@@ -638,6 +702,9 @@ ohci_hcd_at91_drv_resume(struct device *dev)
        at91_start_clock(ohci_at91);
 
        ohci_resume(hcd, false);
+
+       ohci_at91_port_suspend(ohci_at91->sfr_regmap, 0);
+
        return 0;
 }
 
index de7c686..495c145 100644 (file)
@@ -36,7 +36,6 @@
 #include <mach/mux.h>
 
 #include <mach/hardware.h>
-#include <mach/irqs.h>
 #include <mach/usb.h>
 
 
index 2ac266d..3a9ea32 100644 (file)
@@ -13,9 +13,7 @@
  * This file is licenced under the GPL.
  */
 
-#include <mach/hardware.h>
 #include <asm/mach-types.h>
-#include <mach/assabet.h>
 #include <asm/hardware/sa1111.h>
 
 #ifndef CONFIG_SA1111
@@ -127,7 +125,7 @@ static int sa1111_start_hc(struct sa1111_dev *dev)
        dev_dbg(&dev->dev, "starting SA-1111 OHCI USB Controller\n");
 
        if (machine_is_xp860() ||
-           machine_has_neponset() ||
+           machine_is_assabet() ||
            machine_is_pfs168() ||
            machine_is_badge4())
                usb_rst = USB_RESET_PWRSENSELOW | USB_RESET_PWRCTRLLOW;
index a7de8e8..5d3d914 100644 (file)
@@ -601,11 +601,8 @@ static int uhci_start(struct usb_hcd *hcd)
 
        uhci->frame_cpu = kcalloc(UHCI_NUMFRAMES, sizeof(*uhci->frame_cpu),
                        GFP_KERNEL);
-       if (!uhci->frame_cpu) {
-               dev_err(uhci_dev(uhci),
-                       "unable to allocate memory for frame pointers\n");
+       if (!uhci->frame_cpu)
                goto err_alloc_frame_cpu;
-       }
 
        uhci->td_pool = dma_pool_create("uhci_td", uhci_dev(uhci),
                        sizeof(struct uhci_td), 16, 0);
index e363723..ad8eb57 100644 (file)
@@ -65,7 +65,7 @@ int whc_init(struct whc *whc)
        init_waitqueue_head(&whc->cmd_wq);
        init_waitqueue_head(&whc->async_list_wq);
        init_waitqueue_head(&whc->periodic_list_wq);
-       whc->workqueue = create_singlethread_workqueue(dev_name(&whc->umc->dev));
+       whc->workqueue = alloc_ordered_workqueue(dev_name(&whc->umc->dev), 0);
        if (whc->workqueue == NULL) {
                ret = -ENOMEM;
                goto error;
index 0f53ae0..a59fafb 100644 (file)
@@ -1033,7 +1033,6 @@ static int tegra_xusb_probe(struct platform_device *pdev)
        tegra->phys = devm_kcalloc(&pdev->dev, tegra->num_phys,
                                   sizeof(*tegra->phys), GFP_KERNEL);
        if (!tegra->phys) {
-               dev_err(&pdev->dev, "failed to allocate PHY array\n");
                err = -ENOMEM;
                goto put_padctl;
        }
@@ -1117,6 +1116,7 @@ static int tegra_xusb_probe(struct platform_device *pdev)
                                                 tegra->hcd);
        if (!xhci->shared_hcd) {
                dev_err(&pdev->dev, "failed to create shared HCD\n");
+               err = -ENOMEM;
                goto remove_usb2;
        }
 
index 01d96c9..1a4ca02 100644 (file)
@@ -295,10 +295,8 @@ static int xhci_setup_msix(struct xhci_hcd *xhci)
        xhci->msix_entries =
                kmalloc((sizeof(struct msix_entry))*xhci->msix_count,
                                GFP_KERNEL);
-       if (!xhci->msix_entries) {
-               xhci_err(xhci, "Failed to allocate MSI-X entries\n");
+       if (!xhci->msix_entries)
                return -ENOMEM;
-       }
 
        for (i = 0; i < xhci->msix_count; i++) {
                xhci->msix_entries[i].entry = i;
index eb8f8d3..47b3577 100644 (file)
@@ -240,6 +240,12 @@ config USB_HSIC_USB3503
        help
          This option enables support for SMSC USB3503 HSIC to USB 2.0 Driver.
 
+config USB_HSIC_USB4604
+       tristate "USB4604 HSIC to USB20 Driver"
+       depends on I2C
+       help
+         This option enables support for SMSC USB4604 HSIC to USB 2.0 Driver.
+
 config USB_LINK_LAYER_TEST
        tristate "USB Link Layer Test driver"
        help
index 3d79faa..3d19927 100644 (file)
@@ -24,6 +24,7 @@ obj-$(CONFIG_USB_USS720)              += uss720.o
 obj-$(CONFIG_USB_SEVSEG)               += usbsevseg.o
 obj-$(CONFIG_USB_YUREX)                        += yurex.o
 obj-$(CONFIG_USB_HSIC_USB3503)         += usb3503.o
+obj-$(CONFIG_USB_HSIC_USB4604)         += usb4604.o
 obj-$(CONFIG_USB_CHAOSKEY)             += chaoskey.o
 obj-$(CONFIG_UCSI)                     += ucsi.o
 
index 3071c0e..564268f 100644 (file)
@@ -672,8 +672,7 @@ static int adu_probe(struct usb_interface *interface,
 
        /* allocate memory for our device state and initialize it */
        dev = kzalloc(sizeof(struct adu_device), GFP_KERNEL);
-       if (dev == NULL) {
-               dev_err(&interface->dev, "Out of memory\n");
+       if (!dev) {
                retval = -ENOMEM;
                goto exit;
        }
@@ -710,7 +709,6 @@ static int adu_probe(struct usb_interface *interface,
 
        dev->read_buffer_primary = kmalloc((4 * in_end_size), GFP_KERNEL);
        if (!dev->read_buffer_primary) {
-               dev_err(&interface->dev, "Couldn't allocate read_buffer_primary\n");
                retval = -ENOMEM;
                goto error;
        }
@@ -723,7 +721,6 @@ static int adu_probe(struct usb_interface *interface,
 
        dev->read_buffer_secondary = kmalloc((4 * in_end_size), GFP_KERNEL);
        if (!dev->read_buffer_secondary) {
-               dev_err(&interface->dev, "Couldn't allocate read_buffer_secondary\n");
                retval = -ENOMEM;
                goto error;
        }
@@ -735,29 +732,21 @@ static int adu_probe(struct usb_interface *interface,
        memset(dev->read_buffer_secondary + (3 * in_end_size), 'h', in_end_size);
 
        dev->interrupt_in_buffer = kmalloc(in_end_size, GFP_KERNEL);
-       if (!dev->interrupt_in_buffer) {
-               dev_err(&interface->dev, "Couldn't allocate interrupt_in_buffer\n");
+       if (!dev->interrupt_in_buffer)
                goto error;
-       }
 
        /* debug code prime the buffer */
        memset(dev->interrupt_in_buffer, 'i', in_end_size);
 
        dev->interrupt_in_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!dev->interrupt_in_urb) {
-               dev_err(&interface->dev, "Couldn't allocate interrupt_in_urb\n");
+       if (!dev->interrupt_in_urb)
                goto error;
-       }
        dev->interrupt_out_buffer = kmalloc(out_end_size, GFP_KERNEL);
-       if (!dev->interrupt_out_buffer) {
-               dev_err(&interface->dev, "Couldn't allocate interrupt_out_buffer\n");
+       if (!dev->interrupt_out_buffer)
                goto error;
-       }
        dev->interrupt_out_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!dev->interrupt_out_urb) {
-               dev_err(&interface->dev, "Couldn't allocate interrupt_out_urb\n");
+       if (!dev->interrupt_out_urb)
                goto error;
-       }
 
        if (!usb_string(udev, udev->descriptor.iSerialNumber, dev->serial_number,
                        sizeof(dev->serial_number))) {
index a0a3827..da5ff40 100644 (file)
@@ -85,7 +85,6 @@ struct appledisplay {
 };
 
 static atomic_t count_displays = ATOMIC_INIT(0);
-static struct workqueue_struct *wq;
 
 static void appledisplay_complete(struct urb *urb)
 {
@@ -122,7 +121,7 @@ static void appledisplay_complete(struct urb *urb)
        case ACD_BTN_BRIGHT_UP:
        case ACD_BTN_BRIGHT_DOWN:
                pdata->button_pressed = 1;
-               queue_delayed_work(wq, &pdata->work, 0);
+               schedule_delayed_work(&pdata->work, 0);
                break;
        case ACD_BTN_NONE:
        default:
@@ -239,7 +238,6 @@ static int appledisplay_probe(struct usb_interface *iface,
        pdata = kzalloc(sizeof(struct appledisplay), GFP_KERNEL);
        if (!pdata) {
                retval = -ENOMEM;
-               dev_err(&iface->dev, "Out of memory\n");
                goto error;
        }
 
@@ -253,8 +251,6 @@ static int appledisplay_probe(struct usb_interface *iface,
        pdata->msgdata = kmalloc(ACD_MSG_BUFFER_LEN, GFP_KERNEL);
        if (!pdata->msgdata) {
                retval = -ENOMEM;
-               dev_err(&iface->dev,
-                       "Allocating buffer for control messages failed\n");
                goto error;
        }
 
@@ -262,7 +258,6 @@ static int appledisplay_probe(struct usb_interface *iface,
        pdata->urb = usb_alloc_urb(0, GFP_KERNEL);
        if (!pdata->urb) {
                retval = -ENOMEM;
-               dev_err(&iface->dev, "Allocating URB failed\n");
                goto error;
        }
 
@@ -344,7 +339,7 @@ static void appledisplay_disconnect(struct usb_interface *iface)
 
        if (pdata) {
                usb_kill_urb(pdata->urb);
-               cancel_delayed_work(&pdata->work);
+               cancel_delayed_work_sync(&pdata->work);
                backlight_device_unregister(pdata->bd);
                usb_free_coherent(pdata->udev, ACD_URB_BUFFER_LEN,
                        pdata->urbdata, pdata->urb->transfer_dma);
@@ -365,19 +360,11 @@ static struct usb_driver appledisplay_driver = {
 
 static int __init appledisplay_init(void)
 {
-       wq = create_singlethread_workqueue("appledisplay");
-       if (!wq) {
-               printk(KERN_ERR "appledisplay: Could not create work queue\n");
-               return -ENOMEM;
-       }
-
        return usb_register(&appledisplay_driver);
 }
 
 static void __exit appledisplay_exit(void)
 {
-       flush_workqueue(wq);
-       destroy_workqueue(wq);
        usb_deregister(&appledisplay_driver);
 }
 
index 402b94d..5c93a88 100644 (file)
@@ -79,7 +79,6 @@ static int vendor_command(struct cypress *dev, unsigned char request,
        /* allocate some memory for the i/o buffer*/
        iobuf = kzalloc(CYPRESS_MAX_REQSIZE, GFP_KERNEL);
        if (!iobuf) {
-               dev_err(&dev->udev->dev, "Out of memory!\n");
                retval = -ENOMEM;
                goto error;
        }
@@ -208,10 +207,8 @@ static int cypress_probe(struct usb_interface *interface,
 
        /* allocate memory for our device state and initialize it */
        dev = kzalloc(sizeof(*dev), GFP_KERNEL);
-       if (dev == NULL) {
-               dev_err(&interface->dev, "Out of memory!\n");
+       if (!dev)
                goto error_mem;
-       }
 
        dev->udev = usb_get_dev(interface_to_usbdev(interface));
 
index 9bab1a3..9d8bb8d 100644 (file)
@@ -101,10 +101,8 @@ static ssize_t set_brightness(struct device *dev, struct device_attribute *attr,
        int retval;
    
        buffer = kmalloc(8, GFP_KERNEL);
-       if (!buffer) {
-               dev_err(&cytherm->udev->dev, "out of memory\n");
+       if (!buffer)
                return 0;
-       }
 
        cytherm->brightness = simple_strtoul(buf, NULL, 10);
    
@@ -148,10 +146,8 @@ static ssize_t show_temp(struct device *dev, struct device_attribute *attr, char
        int temp, sign;
    
        buffer = kmalloc(8, GFP_KERNEL);
-       if (!buffer) {
-               dev_err(&cytherm->udev->dev, "out of memory\n");
+       if (!buffer)
                return 0;
-       }
 
        /* read temperature */
        retval = vendor_command(cytherm->udev, READ_RAM, TEMP, 0, buffer, 8);
@@ -192,10 +188,8 @@ static ssize_t show_button(struct device *dev, struct device_attribute *attr, ch
        unsigned char *buffer;
 
        buffer = kmalloc(8, GFP_KERNEL);
-       if (!buffer) {
-               dev_err(&cytherm->udev->dev, "out of memory\n");
+       if (!buffer)
                return 0;
-       }
 
        /* check button */
        retval = vendor_command(cytherm->udev, READ_RAM, BUTTON, 0, buffer, 8);
@@ -230,10 +224,8 @@ static ssize_t show_port0(struct device *dev, struct device_attribute *attr, cha
        unsigned char *buffer;
 
        buffer = kmalloc(8, GFP_KERNEL);
-       if (!buffer) {
-               dev_err(&cytherm->udev->dev, "out of memory\n");
+       if (!buffer)
                return 0;
-       }
 
        retval = vendor_command(cytherm->udev, READ_PORT, 0, 0, buffer, 8);
        if (retval)
@@ -257,10 +249,8 @@ static ssize_t set_port0(struct device *dev, struct device_attribute *attr, cons
        int tmp;
    
        buffer = kmalloc(8, GFP_KERNEL);
-       if (!buffer) {
-               dev_err(&cytherm->udev->dev, "out of memory\n");
+       if (!buffer)
                return 0;
-       }
 
        tmp = simple_strtoul(buf, NULL, 10);
    
@@ -290,10 +280,8 @@ static ssize_t show_port1(struct device *dev, struct device_attribute *attr, cha
        unsigned char *buffer;
 
        buffer = kmalloc(8, GFP_KERNEL);
-       if (!buffer) {
-               dev_err(&cytherm->udev->dev, "out of memory\n");
+       if (!buffer)
                return 0;
-       }
 
        retval = vendor_command(cytherm->udev, READ_PORT, 1, 0, buffer, 8);
        if (retval)
@@ -317,10 +305,8 @@ static ssize_t set_port1(struct device *dev, struct device_attribute *attr, cons
        int tmp;
    
        buffer = kmalloc(8, GFP_KERNEL);
-       if (!buffer) {
-               dev_err(&cytherm->udev->dev, "out of memory\n");
+       if (!buffer)
                return 0;
-       }
 
        tmp = simple_strtoul(buf, NULL, 10);
    
@@ -351,10 +337,8 @@ static int cytherm_probe(struct usb_interface *interface,
        int retval = -ENOMEM;
 
        dev = kzalloc (sizeof(struct usb_cytherm), GFP_KERNEL);
-       if (dev == NULL) {
-               dev_err (&interface->dev, "Out of memory\n");
+       if (!dev)
                goto error_mem;
-       }
 
        dev->udev = usb_get_dev(udev);
 
index 947811b..837208f 100644 (file)
@@ -22,7 +22,7 @@ struct ezusb_fx_type {
        unsigned short max_internal_adress;
 };
 
-static struct ezusb_fx_type ezusb_fx1 = {
+static const struct ezusb_fx_type ezusb_fx1 = {
        .cpucs_reg = 0x7F92,
        .max_internal_adress = 0x1B3F,
 };
index 9b5b3b2..9a82f83 100644 (file)
@@ -61,9 +61,6 @@ module_param(distrust_firmware, bool, 0);
 MODULE_PARM_DESC(distrust_firmware,
                 "true to distrust firmware power/overcurrent setup");
 extern struct platform_driver u132_platform_driver;
-static struct workqueue_struct *status_queue;
-static struct workqueue_struct *command_queue;
-static struct workqueue_struct *respond_queue;
 /*
  * ftdi_module_lock exists to protect access to global variables
  *
@@ -228,56 +225,56 @@ static void ftdi_elan_init_kref(struct usb_ftdi *ftdi)
 
 static void ftdi_status_requeue_work(struct usb_ftdi *ftdi, unsigned int delta)
 {
-       if (!queue_delayed_work(status_queue, &ftdi->status_work, delta))
+       if (!schedule_delayed_work(&ftdi->status_work, delta))
                kref_put(&ftdi->kref, ftdi_elan_delete);
 }
 
 static void ftdi_status_queue_work(struct usb_ftdi *ftdi, unsigned int delta)
 {
-       if (queue_delayed_work(status_queue, &ftdi->status_work, delta))
+       if (schedule_delayed_work(&ftdi->status_work, delta))
                kref_get(&ftdi->kref);
 }
 
 static void ftdi_status_cancel_work(struct usb_ftdi *ftdi)
 {
-       if (cancel_delayed_work(&ftdi->status_work))
+       if (cancel_delayed_work_sync(&ftdi->status_work))
                kref_put(&ftdi->kref, ftdi_elan_delete);
 }
 
 static void ftdi_command_requeue_work(struct usb_ftdi *ftdi, unsigned int delta)
 {
-       if (!queue_delayed_work(command_queue, &ftdi->command_work, delta))
+       if (!schedule_delayed_work(&ftdi->command_work, delta))
                kref_put(&ftdi->kref, ftdi_elan_delete);
 }
 
 static void ftdi_command_queue_work(struct usb_ftdi *ftdi, unsigned int delta)
 {
-       if (queue_delayed_work(command_queue, &ftdi->command_work, delta))
+       if (schedule_delayed_work(&ftdi->command_work, delta))
                kref_get(&ftdi->kref);
 }
 
 static void ftdi_command_cancel_work(struct usb_ftdi *ftdi)
 {
-       if (cancel_delayed_work(&ftdi->command_work))
+       if (cancel_delayed_work_sync(&ftdi->command_work))
                kref_put(&ftdi->kref, ftdi_elan_delete);
 }
 
 static void ftdi_response_requeue_work(struct usb_ftdi *ftdi,
                                       unsigned int delta)
 {
-       if (!queue_delayed_work(respond_queue, &ftdi->respond_work, delta))
+       if (!schedule_delayed_work(&ftdi->respond_work, delta))
                kref_put(&ftdi->kref, ftdi_elan_delete);
 }
 
 static void ftdi_respond_queue_work(struct usb_ftdi *ftdi, unsigned int delta)
 {
-       if (queue_delayed_work(respond_queue, &ftdi->respond_work, delta))
+       if (schedule_delayed_work(&ftdi->respond_work, delta))
                kref_get(&ftdi->kref);
 }
 
 static void ftdi_response_cancel_work(struct usb_ftdi *ftdi)
 {
-       if (cancel_delayed_work(&ftdi->respond_work))
+       if (cancel_delayed_work_sync(&ftdi->respond_work))
                kref_put(&ftdi->kref, ftdi_elan_delete);
 }
 
@@ -785,11 +782,8 @@ static int ftdi_elan_command_engine(struct usb_ftdi *ftdi)
                return 0;
        total_size = ftdi_elan_total_command_size(ftdi, command_size);
        urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!urb) {
-               dev_err(&ftdi->udev->dev, "could not get a urb to write %d commands totaling %d bytes to the Uxxx\n",
-                       command_size, total_size);
+       if (!urb)
                return -ENOMEM;
-       }
        buf = usb_alloc_coherent(ftdi->udev, total_size, GFP_KERNEL,
                                 &urb->transfer_dma);
        if (!buf) {
@@ -1948,10 +1942,8 @@ static int ftdi_elan_synchronize_flush(struct usb_ftdi *ftdi)
        int I = 257;
        int i = 0;
        urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!urb) {
-               dev_err(&ftdi->udev->dev, "could not alloc a urb for flush sequence\n");
+       if (!urb)
                return -ENOMEM;
-       }
        buf = usb_alloc_coherent(ftdi->udev, I, GFP_KERNEL, &urb->transfer_dma);
        if (!buf) {
                dev_err(&ftdi->udev->dev, "could not get a buffer for flush sequence\n");
@@ -1988,10 +1980,8 @@ static int ftdi_elan_synchronize_reset(struct usb_ftdi *ftdi)
        int I = 4;
        int i = 0;
        urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!urb) {
-               dev_err(&ftdi->udev->dev, "could not get a urb for the reset sequence\n");
+       if (!urb)
                return -ENOMEM;
-       }
        buf = usb_alloc_coherent(ftdi->udev, I, GFP_KERNEL, &urb->transfer_dma);
        if (!buf) {
                dev_err(&ftdi->udev->dev, "could not get a buffer for the reset sequence\n");
@@ -2740,7 +2730,6 @@ static int ftdi_elan_probe(struct usb_interface *interface,
                        ftdi->bulk_in_endpointAddr = endpoint->bEndpointAddress;
                        ftdi->bulk_in_buffer = kmalloc(buffer_size, GFP_KERNEL);
                        if (!ftdi->bulk_in_buffer) {
-                               dev_err(&ftdi->udev->dev, "Could not allocate bulk_in_buffer\n");
                                retval = -ENOMEM;
                                goto error;
                        }
@@ -2823,9 +2812,6 @@ static void ftdi_elan_disconnect(struct usb_interface *interface)
                        ftdi->initialized = 0;
                        ftdi->registered = 0;
                }
-               flush_workqueue(status_queue);
-               flush_workqueue(command_queue);
-               flush_workqueue(respond_queue);
                ftdi->disconnected += 1;
                usb_set_intfdata(interface, NULL);
                dev_info(&ftdi->udev->dev, "USB FTDI U132 host controller interface now disconnected\n");
@@ -2845,31 +2831,12 @@ static int __init ftdi_elan_init(void)
        pr_info("driver %s\n", ftdi_elan_driver.name);
        mutex_init(&ftdi_module_lock);
        INIT_LIST_HEAD(&ftdi_static_list);
-       status_queue = create_singlethread_workqueue("ftdi-status-control");
-       if (!status_queue)
-               goto err_status_queue;
-       command_queue = create_singlethread_workqueue("ftdi-command-engine");
-       if (!command_queue)
-               goto err_command_queue;
-       respond_queue = create_singlethread_workqueue("ftdi-respond-engine");
-       if (!respond_queue)
-               goto err_respond_queue;
        result = usb_register(&ftdi_elan_driver);
        if (result) {
-               destroy_workqueue(status_queue);
-               destroy_workqueue(command_queue);
-               destroy_workqueue(respond_queue);
                pr_err("usb_register failed. Error number %d\n", result);
        }
        return result;
 
-err_respond_queue:
-       destroy_workqueue(command_queue);
-err_command_queue:
-       destroy_workqueue(status_queue);
-err_status_queue:
-       pr_err("%s couldn't create workqueue\n", ftdi_elan_driver.name);
-       return -ENOMEM;
 }
 
 static void __exit ftdi_elan_exit(void)
@@ -2882,15 +2849,7 @@ static void __exit ftdi_elan_exit(void)
                ftdi_status_cancel_work(ftdi);
                ftdi_command_cancel_work(ftdi);
                ftdi_response_cancel_work(ftdi);
-       } flush_workqueue(status_queue);
-       destroy_workqueue(status_queue);
-       status_queue = NULL;
-       flush_workqueue(command_queue);
-       destroy_workqueue(command_queue);
-       command_queue = NULL;
-       flush_workqueue(respond_queue);
-       destroy_workqueue(respond_queue);
-       respond_queue = NULL;
+       }
 }
 
 
index 5105397..2975e80 100644 (file)
@@ -366,7 +366,6 @@ static int idmouse_probe(struct usb_interface *interface,
                        kmalloc(IMGSIZE + dev->bulk_in_size, GFP_KERNEL);
 
                if (!dev->bulk_in_buffer) {
-                       dev_err(&interface->dev, "Unable to allocate input buffer.\n");
                        idmouse_delete(dev);
                        return -ENOMEM;
                }
index 1950e87..095778f 100644 (file)
@@ -278,7 +278,7 @@ static ssize_t iowarrior_read(struct file *file, char __user *buffer,
        dev = file->private_data;
 
        /* verify that the device wasn't unplugged */
-       if (dev == NULL || !dev->present)
+       if (!dev || !dev->present)
                return -ENODEV;
 
        dev_dbg(&dev->interface->dev, "minor %d, count = %zd\n",
@@ -413,8 +413,6 @@ static ssize_t iowarrior_write(struct file *file,
                int_out_urb = usb_alloc_urb(0, GFP_KERNEL);
                if (!int_out_urb) {
                        retval = -ENOMEM;
-                       dev_dbg(&dev->interface->dev,
-                               "Unable to allocate urb\n");
                        goto error_no_urb;
                }
                buf = usb_alloc_coherent(dev->udev, dev->report_size,
@@ -482,9 +480,8 @@ static long iowarrior_ioctl(struct file *file, unsigned int cmd,
        int io_res;             /* checks for bytes read/written and copy_to/from_user results */
 
        dev = file->private_data;
-       if (dev == NULL) {
+       if (!dev)
                return -ENODEV;
-       }
 
        buffer = kzalloc(dev->report_size, GFP_KERNEL);
        if (!buffer)
@@ -654,9 +651,8 @@ static int iowarrior_release(struct inode *inode, struct file *file)
        int retval = 0;
 
        dev = file->private_data;
-       if (dev == NULL) {
+       if (!dev)
                return -ENODEV;
-       }
 
        dev_dbg(&dev->interface->dev, "minor %d\n", dev->minor);
 
@@ -766,10 +762,8 @@ static int iowarrior_probe(struct usb_interface *interface,
 
        /* allocate memory for our device state and initialize it */
        dev = kzalloc(sizeof(struct iowarrior), GFP_KERNEL);
-       if (dev == NULL) {
-               dev_err(&interface->dev, "Out of memory\n");
+       if (!dev)
                return retval;
-       }
 
        mutex_init(&dev->mutex);
 
@@ -812,15 +806,11 @@ static int iowarrior_probe(struct usb_interface *interface,
 
        /* create the urb and buffer for reading */
        dev->int_in_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!dev->int_in_urb) {
-               dev_err(&interface->dev, "Couldn't allocate interrupt_in_urb\n");
+       if (!dev->int_in_urb)
                goto error;
-       }
        dev->int_in_buffer = kmalloc(dev->report_size, GFP_KERNEL);
-       if (!dev->int_in_buffer) {
-               dev_err(&interface->dev, "Couldn't allocate int_in_buffer\n");
+       if (!dev->int_in_buffer)
                goto error;
-       }
        usb_fill_int_urb(dev->int_in_urb, dev->udev,
                         usb_rcvintpipe(dev->udev,
                                        dev->int_in_endpoint->bEndpointAddress),
@@ -831,10 +821,8 @@ static int iowarrior_probe(struct usb_interface *interface,
        dev->read_queue =
            kmalloc(((dev->report_size + 1) * MAX_INTERRUPT_BUFFER),
                    GFP_KERNEL);
-       if (!dev->read_queue) {
-               dev_err(&interface->dev, "Couldn't allocate read_queue\n");
+       if (!dev->read_queue)
                goto error;
-       }
        /* Get the serial-number of the chip */
        memset(dev->chip_serial, 0x00, sizeof(dev->chip_serial));
        usb_string(udev, udev->descriptor.iSerialNumber, dev->chip_serial,
index cce22ff..9ca5956 100644 (file)
@@ -658,10 +658,8 @@ static int ld_usb_probe(struct usb_interface *intf, const struct usb_device_id *
        /* allocate memory for our device state and initialize it */
 
        dev = kzalloc(sizeof(*dev), GFP_KERNEL);
-       if (dev == NULL) {
-               dev_err(&intf->dev, "Out of memory\n");
+       if (!dev)
                goto exit;
-       }
        mutex_init(&dev->mutex);
        spin_lock_init(&dev->rbsl);
        dev->intf = intf;
@@ -674,10 +672,8 @@ static int ld_usb_probe(struct usb_interface *intf, const struct usb_device_id *
             (le16_to_cpu(udev->descriptor.idProduct) == USB_DEVICE_ID_LD_COM3LAB)) &&
            (le16_to_cpu(udev->descriptor.bcdDevice) <= 0x103)) {
                buffer = kmalloc(256, GFP_KERNEL);
-               if (buffer == NULL) {
-                       dev_err(&intf->dev, "Couldn't allocate string buffer\n");
+               if (!buffer)
                        goto error;
-               }
                /* usb_string makes SETUP+STALL to leave always ControlReadLoop */
                usb_string(udev, 255, buffer, 256);
                kfree(buffer);
@@ -704,32 +700,22 @@ static int ld_usb_probe(struct usb_interface *intf, const struct usb_device_id *
 
        dev->interrupt_in_endpoint_size = usb_endpoint_maxp(dev->interrupt_in_endpoint);
        dev->ring_buffer = kmalloc(ring_buffer_size*(sizeof(size_t)+dev->interrupt_in_endpoint_size), GFP_KERNEL);
-       if (!dev->ring_buffer) {
-               dev_err(&intf->dev, "Couldn't allocate ring_buffer\n");
+       if (!dev->ring_buffer)
                goto error;
-       }
        dev->interrupt_in_buffer = kmalloc(dev->interrupt_in_endpoint_size, GFP_KERNEL);
-       if (!dev->interrupt_in_buffer) {
-               dev_err(&intf->dev, "Couldn't allocate interrupt_in_buffer\n");
+       if (!dev->interrupt_in_buffer)
                goto error;
-       }
        dev->interrupt_in_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!dev->interrupt_in_urb) {
-               dev_err(&intf->dev, "Couldn't allocate interrupt_in_urb\n");
+       if (!dev->interrupt_in_urb)
                goto error;
-       }
        dev->interrupt_out_endpoint_size = dev->interrupt_out_endpoint ? usb_endpoint_maxp(dev->interrupt_out_endpoint) :
                                                                         udev->descriptor.bMaxPacketSize0;
        dev->interrupt_out_buffer = kmalloc(write_buffer_size*dev->interrupt_out_endpoint_size, GFP_KERNEL);
-       if (!dev->interrupt_out_buffer) {
-               dev_err(&intf->dev, "Couldn't allocate interrupt_out_buffer\n");
+       if (!dev->interrupt_out_buffer)
                goto error;
-       }
        dev->interrupt_out_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!dev->interrupt_out_urb) {
-               dev_err(&intf->dev, "Couldn't allocate interrupt_out_urb\n");
+       if (!dev->interrupt_out_urb)
                goto error;
-       }
        dev->interrupt_in_interval = min_interrupt_in_interval > dev->interrupt_in_endpoint->bInterval ? min_interrupt_in_interval : dev->interrupt_in_endpoint->bInterval;
        if (dev->interrupt_out_endpoint)
                dev->interrupt_out_interval = min_interrupt_out_interval > dev->interrupt_out_endpoint->bInterval ? min_interrupt_out_interval : dev->interrupt_out_endpoint->bInterval;
index 7771be3..c8fbe7b 100644 (file)
@@ -817,10 +817,8 @@ static int tower_probe (struct usb_interface *interface, const struct usb_device
 
        dev = kmalloc (sizeof(struct lego_usb_tower), GFP_KERNEL);
 
-       if (dev == NULL) {
-               dev_err(idev, "Out of memory\n");
+       if (!dev)
                goto exit;
-       }
 
        mutex_init(&dev->lock);
 
@@ -871,51 +869,23 @@ static int tower_probe (struct usb_interface *interface, const struct usb_device
        }
 
        dev->read_buffer = kmalloc (read_buffer_size, GFP_KERNEL);
-       if (!dev->read_buffer) {
-               dev_err(idev, "Couldn't allocate read_buffer\n");
+       if (!dev->read_buffer)
                goto error;
-       }
        dev->interrupt_in_buffer = kmalloc (usb_endpoint_maxp(dev->interrupt_in_endpoint), GFP_KERNEL);
-       if (!dev->interrupt_in_buffer) {
-               dev_err(idev, "Couldn't allocate interrupt_in_buffer\n");
+       if (!dev->interrupt_in_buffer)
                goto error;
-       }
        dev->interrupt_in_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!dev->interrupt_in_urb) {
-               dev_err(idev, "Couldn't allocate interrupt_in_urb\n");
+       if (!dev->interrupt_in_urb)
                goto error;
-       }
        dev->interrupt_out_buffer = kmalloc (write_buffer_size, GFP_KERNEL);
-       if (!dev->interrupt_out_buffer) {
-               dev_err(idev, "Couldn't allocate interrupt_out_buffer\n");
+       if (!dev->interrupt_out_buffer)
                goto error;
-       }
        dev->interrupt_out_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!dev->interrupt_out_urb) {
-               dev_err(idev, "Couldn't allocate interrupt_out_urb\n");
+       if (!dev->interrupt_out_urb)
                goto error;
-       }
        dev->interrupt_in_interval = interrupt_in_interval ? interrupt_in_interval : dev->interrupt_in_endpoint->bInterval;
        dev->interrupt_out_interval = interrupt_out_interval ? interrupt_out_interval : dev->interrupt_out_endpoint->bInterval;
 
-       /* we can register the device now, as it is ready */
-       usb_set_intfdata (interface, dev);
-
-       retval = usb_register_dev (interface, &tower_class);
-
-       if (retval) {
-               /* something prevented us from registering this driver */
-               dev_err(idev, "Not able to get a minor for this device.\n");
-               usb_set_intfdata (interface, NULL);
-               goto error;
-       }
-       dev->minor = interface->minor;
-
-       /* let the user know what node this device is now attached to */
-       dev_info(&interface->dev, "LEGO USB Tower #%d now attached to major "
-                "%d minor %d\n", (dev->minor - LEGO_USB_TOWER_MINOR_BASE),
-                USB_MAJOR, dev->minor);
-
        /* get the firmware version and log it */
        result = usb_control_msg (udev,
                                  usb_rcvctrlpipe(udev, 0),
@@ -936,6 +906,23 @@ static int tower_probe (struct usb_interface *interface, const struct usb_device
                 get_version_reply.minor,
                 le16_to_cpu(get_version_reply.build_no));
 
+       /* we can register the device now, as it is ready */
+       usb_set_intfdata (interface, dev);
+
+       retval = usb_register_dev (interface, &tower_class);
+
+       if (retval) {
+               /* something prevented us from registering this driver */
+               dev_err(idev, "Not able to get a minor for this device.\n");
+               usb_set_intfdata (interface, NULL);
+               goto error;
+       }
+       dev->minor = interface->minor;
+
+       /* let the user know what node this device is now attached to */
+       dev_info(&interface->dev, "LEGO USB Tower #%d now attached to major "
+                "%d minor %d\n", (dev->minor - LEGO_USB_TOWER_MINOR_BASE),
+                USB_MAJOR, dev->minor);
 
 exit:
        return retval;
index 86b4e4b..7717651 100644 (file)
@@ -34,8 +34,6 @@ struct lvs_rh {
        struct usb_hub_descriptor descriptor;
        /* urb for polling interrupt pipe */
        struct urb *urb;
-       /* LVS RH work queue */
-       struct workqueue_struct *rh_queue;
        /* LVH RH work */
        struct work_struct      rh_work;
        /* RH port status */
@@ -247,10 +245,8 @@ static ssize_t get_dev_desc_store(struct device *dev,
        int ret;
 
        descriptor = kmalloc(sizeof(*descriptor), GFP_KERNEL);
-       if (!descriptor) {
-               dev_err(dev, "failed to allocate descriptor memory\n");
+       if (!descriptor)
                return -ENOMEM;
-       }
 
        udev = create_lvs_device(intf);
        if (!udev) {
@@ -355,7 +351,7 @@ static void lvs_rh_irq(struct urb *urb)
 {
        struct lvs_rh *lvs = urb->context;
 
-       queue_work(lvs->rh_queue, &lvs->rh_work);
+       schedule_work(&lvs->rh_work);
 }
 
 static int lvs_rh_probe(struct usb_interface *intf,
@@ -397,24 +393,15 @@ static int lvs_rh_probe(struct usb_interface *intf,
 
        /* submit urb to poll interrupt endpoint */
        lvs->urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!lvs->urb) {
-               dev_err(&intf->dev, "couldn't allocate lvs urb\n");
+       if (!lvs->urb)
                return -ENOMEM;
-       }
-
-       lvs->rh_queue = create_singlethread_workqueue("lvs_rh_queue");
-       if (!lvs->rh_queue) {
-               dev_err(&intf->dev, "couldn't create workqueue\n");
-               ret = -ENOMEM;
-               goto free_urb;
-       }
 
        INIT_WORK(&lvs->rh_work, lvs_rh_work);
 
        ret = sysfs_create_group(&intf->dev.kobj, &lvs_attr_group);
        if (ret < 0) {
                dev_err(&intf->dev, "Failed to create sysfs node %d\n", ret);
-               goto destroy_queue;
+               goto free_urb;
        }
 
        pipe = usb_rcvintpipe(hdev, endpoint->bEndpointAddress);
@@ -432,8 +419,6 @@ static int lvs_rh_probe(struct usb_interface *intf,
 
 sysfs_remove:
        sysfs_remove_group(&intf->dev.kobj, &lvs_attr_group);
-destroy_queue:
-       destroy_workqueue(lvs->rh_queue);
 free_urb:
        usb_free_urb(lvs->urb);
        return ret;
@@ -444,7 +429,7 @@ static void lvs_rh_disconnect(struct usb_interface *intf)
        struct lvs_rh *lvs = usb_get_intfdata(intf);
 
        sysfs_remove_group(&intf->dev.kobj, &lvs_attr_group);
-       destroy_workqueue(lvs->rh_queue);
+       flush_work(&lvs->rh_work);
        usb_free_urb(lvs->urb);
 }
 
index 02abfcd..05bd39d 100644 (file)
@@ -3084,7 +3084,6 @@ static int sisusb_probe(struct usb_interface *intf,
        /* Allocate URBs */
        sisusb->sisurbin = usb_alloc_urb(0, GFP_KERNEL);
        if (!sisusb->sisurbin) {
-               dev_err(&sisusb->sisusb_dev->dev, "Failed to allocate URBs\n");
                retval = -ENOMEM;
                goto error_3;
        }
@@ -3093,8 +3092,6 @@ static int sisusb_probe(struct usb_interface *intf,
        for (i = 0; i < sisusb->numobufs; i++) {
                sisusb->sisurbout[i] = usb_alloc_urb(0, GFP_KERNEL);
                if (!sisusb->sisurbout[i]) {
-                       dev_err(&sisusb->sisusb_dev->dev,
-                                       "Failed to allocate URBs\n");
                        retval = -ENOMEM;
                        goto error_4;
                }
index 4145314..9795457 100644 (file)
@@ -95,8 +95,7 @@ static int tv_probe(struct usb_interface *interface,
        int retval;
 
        dev = kzalloc(sizeof(struct trancevibrator), GFP_KERNEL);
-       if (dev == NULL) {
-               dev_err(&interface->dev, "Out of memory\n");
+       if (!dev) {
                retval = -ENOMEM;
                goto error;
        }
diff --git a/drivers/usb/misc/usb4604.c b/drivers/usb/misc/usb4604.c
new file mode 100644 (file)
index 0000000..e9f37fb
--- /dev/null
@@ -0,0 +1,175 @@
+/*
+ * Driver for SMSC USB4604 USB HSIC 4-port 2.0 hub controller driver
+ * Based on usb3503 driver
+ *
+ * Copyright (c) 2012-2013 Dongjin Kim (tobetter@gmail.com)
+ * Copyright (c) 2016 Linaro Ltd.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ */
+
+#include <linux/i2c.h>
+#include <linux/delay.h>
+#include <linux/slab.h>
+#include <linux/module.h>
+#include <linux/gpio/consumer.h>
+
+enum usb4604_mode {
+       USB4604_MODE_UNKNOWN,
+       USB4604_MODE_HUB,
+       USB4604_MODE_STANDBY,
+};
+
+struct usb4604 {
+       enum usb4604_mode       mode;
+       struct device           *dev;
+       struct gpio_desc        *gpio_reset;
+};
+
+static void usb4604_reset(struct usb4604 *hub, int state)
+{
+       gpiod_set_value_cansleep(hub->gpio_reset, state);
+
+       /* Wait for i2c logic to come up */
+       if (state)
+               msleep(250);
+}
+
+static int usb4604_connect(struct usb4604 *hub)
+{
+       struct device *dev = hub->dev;
+       struct i2c_client *client = to_i2c_client(dev);
+       int err;
+       u8 connect_cmd[] = { 0xaa, 0x55, 0x00 };
+
+       usb4604_reset(hub, 1);
+
+       err = i2c_master_send(client, connect_cmd, ARRAY_SIZE(connect_cmd));
+       if (err < 0) {
+               usb4604_reset(hub, 0);
+               return err;
+       }
+
+       hub->mode = USB4604_MODE_HUB;
+       dev_dbg(dev, "switched to HUB mode\n");
+
+       return 0;
+}
+
+static int usb4604_switch_mode(struct usb4604 *hub, enum usb4604_mode mode)
+{
+       struct device *dev = hub->dev;
+       int err = 0;
+
+       switch (mode) {
+       case USB4604_MODE_HUB:
+               err = usb4604_connect(hub);
+               break;
+
+       case USB4604_MODE_STANDBY:
+               usb4604_reset(hub, 0);
+               dev_dbg(dev, "switched to STANDBY mode\n");
+               break;
+
+       default:
+               dev_err(dev, "unknown mode is requested\n");
+               err = -EINVAL;
+               break;
+       }
+
+       return err;
+}
+
+static int usb4604_probe(struct usb4604 *hub)
+{
+       struct device *dev = hub->dev;
+       struct device_node *np = dev->of_node;
+       struct gpio_desc *gpio;
+       u32 mode = USB4604_MODE_HUB;
+
+       gpio = devm_gpiod_get_optional(dev, "reset", GPIOD_OUT_LOW);
+       if (IS_ERR(gpio))
+               return PTR_ERR(gpio);
+       hub->gpio_reset = gpio;
+
+       if (of_property_read_u32(np, "initial-mode", &hub->mode))
+               hub->mode = mode;
+
+       return usb4604_switch_mode(hub, hub->mode);
+}
+
+static int usb4604_i2c_probe(struct i2c_client *i2c,
+                            const struct i2c_device_id *id)
+{
+       struct usb4604 *hub;
+
+       hub = devm_kzalloc(&i2c->dev, sizeof(*hub), GFP_KERNEL);
+       if (!hub)
+               return -ENOMEM;
+
+       i2c_set_clientdata(i2c, hub);
+       hub->dev = &i2c->dev;
+
+       return usb4604_probe(hub);
+}
+
+#ifdef CONFIG_PM_SLEEP
+static int usb4604_i2c_suspend(struct device *dev)
+{
+       struct i2c_client *client = to_i2c_client(dev);
+       struct usb4604 *hub = i2c_get_clientdata(client);
+
+       usb4604_switch_mode(hub, USB4604_MODE_STANDBY);
+
+       return 0;
+}
+
+static int usb4604_i2c_resume(struct device *dev)
+{
+       struct i2c_client *client = to_i2c_client(dev);
+       struct usb4604 *hub = i2c_get_clientdata(client);
+
+       usb4604_switch_mode(hub, hub->mode);
+
+       return 0;
+}
+#endif
+
+static SIMPLE_DEV_PM_OPS(usb4604_i2c_pm_ops, usb4604_i2c_suspend,
+               usb4604_i2c_resume);
+
+static const struct i2c_device_id usb4604_id[] = {
+       { "usb4604", 0 },
+       { }
+};
+MODULE_DEVICE_TABLE(i2c, usb4604_id);
+
+#ifdef CONFIG_OF
+static const struct of_device_id usb4604_of_match[] = {
+       { .compatible = "smsc,usb4604" },
+       {}
+};
+MODULE_DEVICE_TABLE(of, usb4604_of_match);
+#endif
+
+static struct i2c_driver usb4604_i2c_driver = {
+       .driver = {
+               .name = "usb4604",
+               .pm = &usb4604_i2c_pm_ops,
+               .of_match_table = of_match_ptr(usb4604_of_match),
+       },
+       .probe          = usb4604_i2c_probe,
+       .id_table       = usb4604_id,
+};
+module_i2c_driver(usb4604_i2c_driver);
+
+MODULE_DESCRIPTION("USB4604 USB HUB driver");
+MODULE_LICENSE("GPL v2");
index 1184390..9f48419 100644 (file)
@@ -321,10 +321,8 @@ static int lcd_probe(struct usb_interface *interface,
 
        /* allocate memory for our device state and initialize it */
        dev = kzalloc(sizeof(*dev), GFP_KERNEL);
-       if (dev == NULL) {
-               dev_err(&interface->dev, "Out of memory\n");
+       if (!dev)
                goto error;
-       }
        kref_init(&dev->kref);
        sema_init(&dev->limit_sem, USB_LCD_CONCURRENT_WRITES);
        init_usb_anchor(&dev->submitted);
@@ -351,11 +349,8 @@ static int lcd_probe(struct usb_interface *interface,
                        dev->bulk_in_size = buffer_size;
                        dev->bulk_in_endpointAddr = endpoint->bEndpointAddress;
                        dev->bulk_in_buffer = kmalloc(buffer_size, GFP_KERNEL);
-                       if (!dev->bulk_in_buffer) {
-                               dev_err(&interface->dev,
-                                       "Could not allocate bulk_in_buffer\n");
+                       if (!dev->bulk_in_buffer)
                                goto error;
-                       }
                }
 
                if (!dev->bulk_out_endpointAddr &&
index 1fe6b73..a0ba529 100644 (file)
@@ -128,10 +128,8 @@ static void update_display_visual(struct usb_sevsegdev *mydev, gfp_t mf)
                return;
 
        buffer = kzalloc(MAXLEN, mf);
-       if (!buffer) {
-               dev_err(&mydev->udev->dev, "out of memory\n");
+       if (!buffer)
                return;
-       }
 
        /* The device is right to left, where as you write left to right */
        for (i = 0; i < mydev->textlength; i++)
@@ -346,10 +344,8 @@ static int sevseg_probe(struct usb_interface *interface,
        int rc = -ENOMEM;
 
        mydev = kzalloc(sizeof(struct usb_sevsegdev), GFP_KERNEL);
-       if (mydev == NULL) {
-               dev_err(&interface->dev, "Out of memory\n");
+       if (!mydev)
                goto error_mem;
-       }
 
        mydev->udev = usb_get_dev(udev);
        mydev->intf = interface;
index bbd029c..356d312 100644 (file)
@@ -150,10 +150,8 @@ static struct uss720_async_request *submit_async_request(struct parport_uss720_p
        if (!usbdev)
                return NULL;
        rq = kzalloc(sizeof(struct uss720_async_request), mem_flags);
-       if (!rq) {
-               dev_err(&usbdev->dev, "submit_async_request out of memory\n");
+       if (!rq)
                return NULL;
-       }
        kref_init(&rq->ref_count);
        INIT_LIST_HEAD(&rq->asynclist);
        init_completion(&rq->compl);
@@ -162,7 +160,6 @@ static struct uss720_async_request *submit_async_request(struct parport_uss720_p
        rq->urb = usb_alloc_urb(0, mem_flags);
        if (!rq->urb) {
                kref_put(&rq->ref_count, destroy_async);
-               dev_err(&usbdev->dev, "submit_async_request out of memory\n");
                return NULL;
        }
        rq->dr = kmalloc(sizeof(*rq->dr), mem_flags);
index 343fa6f..54e53ac 100644 (file)
@@ -200,10 +200,8 @@ static int yurex_probe(struct usb_interface *interface, const struct usb_device_
 
        /* allocate memory for our device state and initialize it */
        dev = kzalloc(sizeof(*dev), GFP_KERNEL);
-       if (!dev) {
-               dev_err(&interface->dev, "Out of memory\n");
+       if (!dev)
                goto error;
-       }
        kref_init(&dev->kref);
        mutex_init(&dev->io_mutex);
        spin_lock_init(&dev->lock);
@@ -231,17 +229,13 @@ static int yurex_probe(struct usb_interface *interface, const struct usb_device_
 
        /* allocate control URB */
        dev->cntl_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!dev->cntl_urb) {
-               dev_err(&interface->dev, "Could not allocate control URB\n");
+       if (!dev->cntl_urb)
                goto error;
-       }
 
        /* allocate buffer for control req */
        dev->cntl_req = kmalloc(YUREX_BUF_SIZE, GFP_KERNEL);
-       if (!dev->cntl_req) {
-               dev_err(&interface->dev, "Could not allocate cntl_req\n");
+       if (!dev->cntl_req)
                goto error;
-       }
 
        /* allocate buffer for control msg */
        dev->cntl_buffer = usb_alloc_coherent(dev->udev, YUREX_BUF_SIZE,
@@ -269,10 +263,8 @@ static int yurex_probe(struct usb_interface *interface, const struct usb_device_
 
        /* allocate interrupt URB */
        dev->urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!dev->urb) {
-               dev_err(&interface->dev, "Could not allocate URB\n");
+       if (!dev->urb)
                goto error;
-       }
 
        /* allocate buffer for interrupt in */
        dev->int_buffer = usb_alloc_coherent(dev->udev, YUREX_BUF_SIZE,
index 73cfa13..72a2a50 100644 (file)
@@ -82,7 +82,7 @@ config USB_MUSB_DA8XX
        tristate "DA8xx/OMAP-L1x"
        depends on ARCH_DAVINCI_DA8XX
        depends on NOP_USB_XCEIV
-       depends on BROKEN
+       select PHY_DA8XX_USB
 
 config USB_MUSB_TUSB6010
        tristate "TUSB6010"
index c41fe58..50ca805 100644 (file)
@@ -474,10 +474,8 @@ static int am35x_probe(struct platform_device *pdev)
        int                             ret = -ENOMEM;
 
        glue = kzalloc(sizeof(*glue), GFP_KERNEL);
-       if (!glue) {
-               dev_err(&pdev->dev, "failed to allocate glue context\n");
+       if (!glue)
                goto err0;
-       }
 
        phy_clk = clk_get(&pdev->dev, "fck");
        if (IS_ERR(phy_clk)) {
@@ -512,8 +510,10 @@ static int am35x_probe(struct platform_device *pdev)
        pdata->platform_ops             = &am35x_ops;
 
        glue->phy = usb_phy_generic_register();
-       if (IS_ERR(glue->phy))
+       if (IS_ERR(glue->phy)) {
+               ret = PTR_ERR(glue->phy);
                goto err7;
+       }
        platform_set_drvdata(pdev, glue);
 
        pinfo = am35x_dev_info;
index b03d3b8..210b7e4 100644 (file)
 #include <linux/clk.h>
 #include <linux/err.h>
 #include <linux/io.h>
+#include <linux/phy/phy.h>
 #include <linux/platform_device.h>
 #include <linux/dma-mapping.h>
 #include <linux/usb/usb_phy_generic.h>
 
-#include <mach/da8xx.h>
-#include <linux/platform_data/usb-davinci.h>
-
 #include "musb_core.h"
 
 /*
 
 #define DA8XX_MENTOR_CORE_OFFSET 0x400
 
-#define CFGCHIP2       IO_ADDRESS(DA8XX_SYSCFG0_BASE + DA8XX_CFGCHIP2_REG)
-
 struct da8xx_glue {
        struct device           *dev;
        struct platform_device  *musb;
-       struct platform_device  *phy;
+       struct platform_device  *usb_phy;
        struct clk              *clk;
+       struct phy              *phy;
 };
 
-/*
- * REVISIT (PM): we should be able to keep the PHY in low power mode most
- * of the time (24 MHz oscillator and PLL off, etc.) by setting POWER.D0
- * and, when in host mode, autosuspending idle root ports... PHY_PLLON
- * (overriding SUSPENDM?) then likely needs to stay off.
- */
-
-static inline void phy_on(void)
-{
-       u32 cfgchip2 = __raw_readl(CFGCHIP2);
-
-       /*
-        * Start the on-chip PHY and its PLL.
-        */
-       cfgchip2 &= ~(CFGCHIP2_RESET | CFGCHIP2_PHYPWRDN | CFGCHIP2_OTGPWRDN);
-       cfgchip2 |= CFGCHIP2_PHY_PLLON;
-       __raw_writel(cfgchip2, CFGCHIP2);
-
-       pr_info("Waiting for USB PHY clock good...\n");
-       while (!(__raw_readl(CFGCHIP2) & CFGCHIP2_PHYCLKGD))
-               cpu_relax();
-}
-
-static inline void phy_off(void)
-{
-       u32 cfgchip2 = __raw_readl(CFGCHIP2);
-
-       /*
-        * Ensure that USB 1.1 reference clock is not being sourced from
-        * USB 2.0 PHY.  Otherwise do not power down the PHY.
-        */
-       if (!(cfgchip2 & CFGCHIP2_USB1PHYCLKMUX) &&
-            (cfgchip2 & CFGCHIP2_USB1SUSPENDM)) {
-               pr_warning("USB 1.1 clocked from USB 2.0 PHY -- "
-                          "can't power it down\n");
-               return;
-       }
-
-       /*
-        * Power down the on-chip PHY.
-        */
-       cfgchip2 |= CFGCHIP2_PHYPWRDN | CFGCHIP2_OTGPWRDN;
-       __raw_writel(cfgchip2, CFGCHIP2);
-}
-
 /*
  * Because we don't set CTRL.UINT, it's "important" to:
  *     - not read/write INTRUSB/INTRUSBE (except during
@@ -385,29 +337,29 @@ static irqreturn_t da8xx_musb_interrupt(int irq, void *hci)
 
 static int da8xx_musb_set_mode(struct musb *musb, u8 musb_mode)
 {
-       u32 cfgchip2 = __raw_readl(CFGCHIP2);
+       struct da8xx_glue *glue = dev_get_drvdata(musb->controller->parent);
+       enum phy_mode phy_mode;
 
-       cfgchip2 &= ~CFGCHIP2_OTGMODE;
        switch (musb_mode) {
        case MUSB_HOST:         /* Force VBUS valid, ID = 0 */
-               cfgchip2 |= CFGCHIP2_FORCE_HOST;
+               phy_mode = PHY_MODE_USB_HOST;
                break;
        case MUSB_PERIPHERAL:   /* Force VBUS valid, ID = 1 */
-               cfgchip2 |= CFGCHIP2_FORCE_DEVICE;
+               phy_mode = PHY_MODE_USB_DEVICE;
                break;
        case MUSB_OTG:          /* Don't override the VBUS/ID comparators */
-               cfgchip2 |= CFGCHIP2_NO_OVERRIDE;
+               phy_mode = PHY_MODE_USB_OTG;
                break;
        default:
-               dev_dbg(musb->controller, "Trying to set unsupported mode %u\n", musb_mode);
+               return -EINVAL;
        }
 
-       __raw_writel(cfgchip2, CFGCHIP2);
-       return 0;
+       return phy_set_mode(glue->phy, phy_mode);
 }
 
 static int da8xx_musb_init(struct musb *musb)
 {
+       struct da8xx_glue *glue = dev_get_drvdata(musb->controller->parent);
        void __iomem *reg_base = musb->ctrl_base;
        u32 rev;
        int ret = -ENODEV;
@@ -425,32 +377,56 @@ static int da8xx_musb_init(struct musb *musb)
                goto fail;
        }
 
+       ret = clk_prepare_enable(glue->clk);
+       if (ret) {
+               dev_err(glue->dev, "failed to enable clock\n");
+               goto fail;
+       }
+
        setup_timer(&otg_workaround, otg_timer, (unsigned long)musb);
 
        /* Reset the controller */
        musb_writel(reg_base, DA8XX_USB_CTRL_REG, DA8XX_SOFT_RESET_MASK);
 
        /* Start the on-chip PHY and its PLL. */
-       phy_on();
+       ret = phy_init(glue->phy);
+       if (ret) {
+               dev_err(glue->dev, "Failed to init phy.\n");
+               goto err_phy_init;
+       }
+
+       ret = phy_power_on(glue->phy);
+       if (ret) {
+               dev_err(glue->dev, "Failed to power on phy.\n");
+               goto err_phy_power_on;
+       }
 
        msleep(5);
 
        /* NOTE: IRQs are in mixed mode, not bypass to pure MUSB */
-       pr_debug("DA8xx OTG revision %08x, PHY %03x, control %02x\n",
-                rev, __raw_readl(CFGCHIP2),
+       pr_debug("DA8xx OTG revision %08x, control %02x\n", rev,
                 musb_readb(reg_base, DA8XX_USB_CTRL_REG));
 
        musb->isr = da8xx_musb_interrupt;
        return 0;
+
+err_phy_power_on:
+       phy_exit(glue->phy);
+err_phy_init:
+       clk_disable_unprepare(glue->clk);
 fail:
        return ret;
 }
 
 static int da8xx_musb_exit(struct musb *musb)
 {
+       struct da8xx_glue *glue = dev_get_drvdata(musb->controller->parent);
+
        del_timer_sync(&otg_workaround);
 
-       phy_off();
+       phy_power_off(glue->phy);
+       phy_exit(glue->phy);
+       clk_disable_unprepare(glue->clk);
 
        usb_put_phy(musb->xceiv);
 
@@ -486,30 +462,25 @@ static int da8xx_probe(struct platform_device *pdev)
 {
        struct resource musb_resources[2];
        struct musb_hdrc_platform_data  *pdata = dev_get_platdata(&pdev->dev);
-       struct platform_device          *musb;
        struct da8xx_glue               *glue;
        struct platform_device_info     pinfo;
        struct clk                      *clk;
+       int                             ret;
 
-       int                             ret = -ENOMEM;
-
-       glue = kzalloc(sizeof(*glue), GFP_KERNEL);
-       if (!glue) {
-               dev_err(&pdev->dev, "failed to allocate glue context\n");
-               goto err0;
-       }
+       glue = devm_kzalloc(&pdev->dev, sizeof(*glue), GFP_KERNEL);
+       if (!glue)
+               return -ENOMEM;
 
-       clk = clk_get(&pdev->dev, "usb20");
+       clk = devm_clk_get(&pdev->dev, "usb20");
        if (IS_ERR(clk)) {
                dev_err(&pdev->dev, "failed to get clock\n");
-               ret = PTR_ERR(clk);
-               goto err3;
+               return PTR_ERR(clk);
        }
 
-       ret = clk_enable(clk);
-       if (ret) {
-               dev_err(&pdev->dev, "failed to enable clock\n");
-               goto err4;
+       glue->phy = devm_phy_get(&pdev->dev, "usb-phy");
+       if (IS_ERR(glue->phy)) {
+               dev_err(&pdev->dev, "failed to get phy\n");
+               return PTR_ERR(glue->phy);
        }
 
        glue->dev                       = &pdev->dev;
@@ -517,10 +488,11 @@ static int da8xx_probe(struct platform_device *pdev)
 
        pdata->platform_ops             = &da8xx_ops;
 
-       glue->phy = usb_phy_generic_register();
-       if (IS_ERR(glue->phy)) {
-               ret = PTR_ERR(glue->phy);
-               goto err5;
+       glue->usb_phy = usb_phy_generic_register();
+       ret = PTR_ERR_OR_ZERO(glue->usb_phy);
+       if (ret) {
+               dev_err(&pdev->dev, "failed to register usb_phy\n");
+               return ret;
        }
        platform_set_drvdata(pdev, glue);
 
@@ -544,28 +516,13 @@ static int da8xx_probe(struct platform_device *pdev)
        pinfo.data = pdata;
        pinfo.size_data = sizeof(*pdata);
 
-       glue->musb = musb = platform_device_register_full(&pinfo);
-       if (IS_ERR(musb)) {
-               ret = PTR_ERR(musb);
+       glue->musb = platform_device_register_full(&pinfo);
+       ret = PTR_ERR_OR_ZERO(glue->musb);
+       if (ret) {
                dev_err(&pdev->dev, "failed to register musb device: %d\n", ret);
-               goto err6;
+               usb_phy_generic_unregister(glue->usb_phy);
        }
 
-       return 0;
-
-err6:
-       usb_phy_generic_unregister(glue->phy);
-
-err5:
-       clk_disable(clk);
-
-err4:
-       clk_put(clk);
-
-err3:
-       kfree(glue);
-
-err0:
        return ret;
 }
 
@@ -574,10 +531,7 @@ static int da8xx_remove(struct platform_device *pdev)
        struct da8xx_glue               *glue = platform_get_drvdata(pdev);
 
        platform_device_unregister(glue->musb);
-       usb_phy_generic_unregister(glue->phy);
-       clk_disable(glue->clk);
-       clk_put(glue->clk);
-       kfree(glue);
+       usb_phy_generic_unregister(glue->usb_phy);
 
        return 0;
 }
index 74fc306..27dadc0 100644 (file)
@@ -1448,7 +1448,7 @@ static int musb_core_init(u16 musb_type, struct musb *musb)
 {
        u8 reg;
        char *type;
-       char aInfo[90], aRevision[32], aDate[12];
+       char aInfo[90];
        void __iomem    *mbase = musb->mregs;
        int             status = 0;
        int             i;
@@ -1482,7 +1482,6 @@ static int musb_core_init(u16 musb_type, struct musb *musb)
 
        pr_debug("%s: ConfigData=0x%02x (%s)\n", musb_driver_name, reg, aInfo);
 
-       aDate[0] = 0;
        if (MUSB_CONTROLLER_MHDRC == musb_type) {
                musb->is_multipoint = 1;
                type = "M";
@@ -1497,11 +1496,10 @@ static int musb_core_init(u16 musb_type, struct musb *musb)
 
        /* log release info */
        musb->hwvers = musb_read_hwvers(mbase);
-       snprintf(aRevision, 32, "%d.%d%s", MUSB_HWVERS_MAJOR(musb->hwvers),
-               MUSB_HWVERS_MINOR(musb->hwvers),
-               (musb->hwvers & MUSB_HWVERS_RC) ? "RC" : "");
-       pr_debug("%s: %sHDRC RTL version %s %s\n",
-                musb_driver_name, type, aRevision, aDate);
+       pr_debug("%s: %sHDRC RTL version %d.%d%s\n",
+                musb_driver_name, type, MUSB_HWVERS_MAJOR(musb->hwvers),
+                MUSB_HWVERS_MINOR(musb->hwvers),
+                (musb->hwvers & MUSB_HWVERS_RC) ? "RC" : "");
 
        /* configure ep0 */
        musb_configure_ep0(musb);
@@ -1831,11 +1829,80 @@ static const struct attribute_group musb_attr_group = {
        .attrs = musb_attributes,
 };
 
+#define MUSB_QUIRK_B_INVALID_VBUS_91   (MUSB_DEVCTL_BDEVICE | \
+                                        (2 << MUSB_DEVCTL_VBUS_SHIFT) | \
+                                        MUSB_DEVCTL_SESSION)
+#define MUSB_QUIRK_A_DISCONNECT_19     ((3 << MUSB_DEVCTL_VBUS_SHIFT) | \
+                                        MUSB_DEVCTL_SESSION)
+
+/*
+ * Check the musb devctl session bit to determine if we want to
+ * allow PM runtime for the device. In general, we want to keep things
+ * active when the session bit is set except after host disconnect.
+ *
+ * Only called from musb_irq_work. If this ever needs to get called
+ * elsewhere, proper locking must be implemented for musb->session.
+ */
+static void musb_pm_runtime_check_session(struct musb *musb)
+{
+       u8 devctl, s;
+       int error;
+
+       devctl = musb_readb(musb->mregs, MUSB_DEVCTL);
+
+       /* Handle session status quirks first */
+       s = MUSB_DEVCTL_FSDEV | MUSB_DEVCTL_LSDEV |
+               MUSB_DEVCTL_HR;
+       switch (devctl & ~s) {
+       case MUSB_QUIRK_B_INVALID_VBUS_91:
+               if (!musb->session && !musb->quirk_invalid_vbus) {
+                       musb->quirk_invalid_vbus = true;
+                       musb_dbg(musb,
+                                "First invalid vbus, assume no session");
+                       return;
+               }
+               break;
+       case MUSB_QUIRK_A_DISCONNECT_19:
+               if (!musb->session)
+                       break;
+               musb_dbg(musb, "Allow PM on possible host mode disconnect");
+               pm_runtime_mark_last_busy(musb->controller);
+               pm_runtime_put_autosuspend(musb->controller);
+               musb->session = false;
+               return;
+       default:
+               break;
+       }
+
+       /* No need to do anything if session has not changed */
+       s = devctl & MUSB_DEVCTL_SESSION;
+       if (s == musb->session)
+               return;
+
+       /* Block PM or allow PM? */
+       if (s) {
+               musb_dbg(musb, "Block PM on active session: %02x", devctl);
+               error = pm_runtime_get_sync(musb->controller);
+               if (error < 0)
+                       dev_err(musb->controller, "Could not enable: %i\n",
+                               error);
+       } else {
+               musb_dbg(musb, "Allow PM with no session: %02x", devctl);
+               musb->quirk_invalid_vbus = false;
+               pm_runtime_mark_last_busy(musb->controller);
+               pm_runtime_put_autosuspend(musb->controller);
+       }
+
+       musb->session = s;
+}
+
 /* Only used to provide driver mode change events */
 static void musb_irq_work(struct work_struct *data)
 {
        struct musb *musb = container_of(data, struct musb, irq_work);
 
+       musb_pm_runtime_check_session(musb);
+
        if (musb->xceiv->otg->state != musb->xceiv_old_state) {
                musb->xceiv_old_state = musb->xceiv->otg->state;
                sysfs_notify(&musb->controller->kobj, NULL, "mode");
index b55a776..2cb88a4 100644 (file)
@@ -378,6 +378,8 @@ struct musb {
        u8                      min_power;      /* vbus for periph, in mA/2 */
 
        int                     port_mode;      /* MUSB_PORT_MODE_* */
+       bool                    session;
+       bool                    quirk_invalid_vbus;
        bool                    is_host;
 
        int                     a_wait_bcon;    /* VBUS timeout in msecs */
index 2537179..0f17d21 100644 (file)
@@ -145,43 +145,6 @@ static const struct debugfs_reg32 dsps_musb_regs[] = {
        { "mode",               0xe8 },
 };
 
-static void dsps_musb_try_idle(struct musb *musb, unsigned long timeout)
-{
-       struct device *dev = musb->controller;
-       struct dsps_glue *glue = dev_get_drvdata(dev->parent);
-
-       if (timeout == 0)
-               timeout = jiffies + msecs_to_jiffies(3);
-
-       /* Never idle if active, or when VBUS timeout is not set as host */
-       if (musb->is_active || (musb->a_wait_bcon == 0 &&
-                       musb->xceiv->otg->state == OTG_STATE_A_WAIT_BCON)) {
-               dev_dbg(musb->controller, "%s active, deleting timer\n",
-                               usb_otg_state_string(musb->xceiv->otg->state));
-               del_timer(&glue->timer);
-               glue->last_timer = jiffies;
-               return;
-       }
-       if (musb->port_mode != MUSB_PORT_MODE_DUAL_ROLE)
-               return;
-
-       if (!musb->g.dev.driver)
-               return;
-
-       if (time_after(glue->last_timer, timeout) &&
-                               timer_pending(&glue->timer)) {
-               dev_dbg(musb->controller,
-                       "Longer idle timer already pending, ignoring...\n");
-               return;
-       }
-       glue->last_timer = timeout;
-
-       dev_dbg(musb->controller, "%s inactive, starting idle timer for %u ms\n",
-               usb_otg_state_string(musb->xceiv->otg->state),
-                       jiffies_to_msecs(timeout - jiffies));
-       mod_timer(&glue->timer, timeout);
-}
-
 /**
  * dsps_musb_enable - enable interrupts
  */
@@ -206,7 +169,6 @@ static void dsps_musb_enable(struct musb *musb)
                        musb->port_mode == MUSB_PORT_MODE_DUAL_ROLE)
                mod_timer(&glue->timer, jiffies +
                                msecs_to_jiffies(wrp->poll_timeout));
-       dsps_musb_try_idle(musb, 0);
 }
 
 /**
@@ -236,6 +198,11 @@ static void otg_timer(unsigned long _musb)
        u8 devctl;
        unsigned long flags;
        int skip_session = 0;
+       int err;
+
+       err = pm_runtime_get_sync(dev);
+       if (err < 0)
+               dev_err(dev, "Poll could not pm_runtime_get: %i\n", err);
 
        /*
         * We poll because DSPS IP's won't expose several OTG-critical
@@ -247,6 +214,10 @@ static void otg_timer(unsigned long _musb)
 
        spin_lock_irqsave(&musb->lock, flags);
        switch (musb->xceiv->otg->state) {
+       case OTG_STATE_A_WAIT_VRISE:
+               mod_timer(&glue->timer, jiffies +
+                               msecs_to_jiffies(wrp->poll_timeout));
+               break;
        case OTG_STATE_A_WAIT_BCON:
                musb_writeb(musb->mregs, MUSB_DEVCTL, 0);
                skip_session = 1;
@@ -275,6 +246,9 @@ static void otg_timer(unsigned long _musb)
                break;
        }
        spin_unlock_irqrestore(&musb->lock, flags);
+
+       pm_runtime_mark_last_busy(dev);
+       pm_runtime_put_autosuspend(dev);
 }
 
 static irqreturn_t dsps_interrupt(int irq, void *hci)
@@ -338,7 +312,8 @@ static irqreturn_t dsps_interrupt(int irq, void *hci)
                        MUSB_HST_MODE(musb);
                        musb->xceiv->otg->default_a = 1;
                        musb->xceiv->otg->state = OTG_STATE_A_WAIT_VRISE;
-                       del_timer(&glue->timer);
+                       mod_timer(&glue->timer, jiffies +
+                                 msecs_to_jiffies(wrp->poll_timeout));
                } else {
                        musb->is_active = 0;
                        MUSB_DEV_MODE(musb);
@@ -358,11 +333,17 @@ static irqreturn_t dsps_interrupt(int irq, void *hci)
        if (musb->int_tx || musb->int_rx || musb->int_usb)
                ret |= musb_interrupt(musb);
 
-       /* Poll for ID change in OTG port mode */
-       if (musb->xceiv->otg->state == OTG_STATE_B_IDLE &&
-                       musb->port_mode == MUSB_PORT_MODE_DUAL_ROLE)
+       /* Poll for ID change and connect */
+       switch (musb->xceiv->otg->state) {
+       case OTG_STATE_B_IDLE:
+       case OTG_STATE_A_WAIT_BCON:
                mod_timer(&glue->timer, jiffies +
                                msecs_to_jiffies(wrp->poll_timeout));
+               break;
+       default:
+               break;
+       }
+
 out:
        spin_unlock_irqrestore(&musb->lock, flags);
 
@@ -461,6 +442,9 @@ static int dsps_musb_init(struct musb *musb)
                musb_writeb(musb->mregs, MUSB_BABBLE_CTL, val);
        }
 
+       mod_timer(&glue->timer, jiffies +
+                 msecs_to_jiffies(glue->wrp->poll_timeout));
+
        return dsps_musb_dbg_init(musb, glue);
 }
 
@@ -620,7 +604,6 @@ static struct musb_platform_ops dsps_ops = {
        .enable         = dsps_musb_enable,
        .disable        = dsps_musb_disable,
 
-       .try_idle       = dsps_musb_try_idle,
        .set_mode       = dsps_musb_set_mode,
        .recover        = dsps_musb_recover,
 };
@@ -784,6 +767,8 @@ static int dsps_probe(struct platform_device *pdev)
 
        platform_set_drvdata(pdev, glue);
        pm_runtime_enable(&pdev->dev);
+       pm_runtime_use_autosuspend(&pdev->dev);
+       pm_runtime_set_autosuspend_delay(&pdev->dev, 200);
 
        ret = pm_runtime_get_sync(&pdev->dev);
        if (ret < 0) {
@@ -795,11 +780,15 @@ static int dsps_probe(struct platform_device *pdev)
        if (ret)
                goto err3;
 
+       pm_runtime_mark_last_busy(&pdev->dev);
+       pm_runtime_put_autosuspend(&pdev->dev);
+
        return 0;
 
 err3:
-       pm_runtime_put(&pdev->dev);
+       pm_runtime_put_sync(&pdev->dev);
 err2:
+       pm_runtime_dont_use_autosuspend(&pdev->dev);
        pm_runtime_disable(&pdev->dev);
        return ret;
 }
@@ -811,7 +800,8 @@ static int dsps_remove(struct platform_device *pdev)
        platform_device_unregister(glue->musb);
 
        /* disable usbss clocks */
-       pm_runtime_put(&pdev->dev);
+       pm_runtime_dont_use_autosuspend(&pdev->dev);
+       pm_runtime_put_sync(&pdev->dev);
        pm_runtime_disable(&pdev->dev);
 
        return 0;
index 6d1e975..bff4869 100644 (file)
@@ -1964,6 +1964,9 @@ static int musb_gadget_stop(struct usb_gadget *g)
         * that currently misbehaves.
         */
 
+       /* Force check of devctl register for PM runtime */
+       schedule_work(&musb->irq_work);
+
        pm_runtime_mark_last_busy(musb->controller);
        pm_runtime_put_autosuspend(musb->controller);
 
index fe08e77..61b5f1c 100644 (file)
@@ -245,6 +245,7 @@ void musb_root_disconnect(struct musb *musb)
                        usb_otg_state_string(musb->xceiv->otg->state));
        }
 }
+EXPORT_SYMBOL_GPL(musb_root_disconnect);
 
 
 /*---------------------------------------------------------------------*/
index 0b4cec9..1ab6973 100644 (file)
@@ -49,9 +49,6 @@ struct omap2430_glue {
        enum musb_vbus_id_status status;
        struct work_struct      omap_musb_mailbox_work;
        struct device           *control_otghs;
-       bool                    cable_connected;
-       bool                    enabled;
-       bool                    powered;
 };
 #define glue_to_musb(g)                platform_get_drvdata(g->musb)
 
@@ -141,45 +138,6 @@ static inline void omap2430_low_level_init(struct musb *musb)
        musb_writel(musb->mregs, OTG_FORCESTDBY, l);
 }
 
-/*
- * We can get multiple cable events so we need to keep track
- * of the power state. Only keep power enabled if USB cable is
- * connected and a gadget is started.
- */
-static void omap2430_set_power(struct musb *musb, bool enabled, bool cable)
-{
-       struct device *dev = musb->controller;
-       struct omap2430_glue *glue = dev_get_drvdata(dev->parent);
-       bool power_up;
-       int res;
-
-       if (glue->enabled != enabled)
-               glue->enabled = enabled;
-
-       if (glue->cable_connected != cable)
-               glue->cable_connected = cable;
-
-       power_up = glue->enabled && glue->cable_connected;
-       if (power_up == glue->powered) {
-               dev_warn(musb->controller, "power state already %i\n",
-                        power_up);
-               return;
-       }
-
-       glue->powered = power_up;
-
-       if (power_up) {
-               res = pm_runtime_get_sync(musb->controller);
-               if (res < 0) {
-                       dev_err(musb->controller, "could not enable: %i", res);
-                       glue->powered = false;
-               }
-       } else {
-               pm_runtime_mark_last_busy(musb->controller);
-               pm_runtime_put_autosuspend(musb->controller);
-       }
-}
-
 static int omap2430_musb_mailbox(enum musb_vbus_id_status status)
 {
        struct omap2430_glue    *glue = _glue;
@@ -203,21 +161,15 @@ static int omap2430_musb_mailbox(enum musb_vbus_id_status status)
 static void omap_musb_set_mailbox(struct omap2430_glue *glue)
 {
        struct musb *musb = glue_to_musb(glue);
-       struct device *dev = musb->controller;
-       struct musb_hdrc_platform_data *pdata = dev_get_platdata(dev);
+       struct musb_hdrc_platform_data *pdata =
+               dev_get_platdata(musb->controller);
        struct omap_musb_board_data *data = pdata->board_data;
        struct usb_otg *otg = musb->xceiv->otg;
-       bool cable_connected;
-
-       cable_connected = ((glue->status == MUSB_ID_GROUND) ||
-                          (glue->status == MUSB_VBUS_VALID));
-
-       if (cable_connected)
-               omap2430_set_power(musb, glue->enabled, cable_connected);
 
+       pm_runtime_get_sync(musb->controller);
        switch (glue->status) {
        case MUSB_ID_GROUND:
-               dev_dbg(dev, "ID GND\n");
+               dev_dbg(musb->controller, "ID GND\n");
 
                otg->default_a = true;
                musb->xceiv->otg->state = OTG_STATE_A_IDLE;
@@ -230,7 +182,7 @@ static void omap_musb_set_mailbox(struct omap2430_glue *glue)
                break;
 
        case MUSB_VBUS_VALID:
-               dev_dbg(dev, "VBUS Connect\n");
+               dev_dbg(musb->controller, "VBUS Connect\n");
 
                otg->default_a = false;
                musb->xceiv->otg->state = OTG_STATE_B_IDLE;
@@ -240,7 +192,7 @@ static void omap_musb_set_mailbox(struct omap2430_glue *glue)
 
        case MUSB_ID_FLOAT:
        case MUSB_VBUS_OFF:
-               dev_dbg(dev, "VBUS Disconnect\n");
+               dev_dbg(musb->controller, "VBUS Disconnect\n");
 
                musb->xceiv->last_event = USB_EVENT_NONE;
                if (musb->gadget_driver)
@@ -253,12 +205,10 @@ static void omap_musb_set_mailbox(struct omap2430_glue *glue)
                        USB_MODE_DISCONNECT);
                break;
        default:
-               dev_dbg(dev, "ID float\n");
+               dev_dbg(musb->controller, "ID float\n");
        }
-
-       if (!cable_connected)
-               omap2430_set_power(musb, glue->enabled, cable_connected);
-
+       pm_runtime_mark_last_busy(musb->controller);
+       pm_runtime_put_autosuspend(musb->controller);
        atomic_notifier_call_chain(&musb->xceiv->notifier,
                        musb->xceiv->last_event, NULL);
 }
@@ -376,8 +326,6 @@ static void omap2430_musb_enable(struct musb *musb)
        if (!WARN_ON(!musb->phy))
                phy_power_on(musb->phy);
 
-       omap2430_set_power(musb, true, glue->cable_connected);
-
        switch (glue->status) {
 
        case MUSB_ID_GROUND:
@@ -419,8 +367,6 @@ static void omap2430_musb_disable(struct musb *musb)
        if (glue->status != MUSB_UNKNOWN)
                omap_control_usb_set_mode(glue->control_otghs,
                        USB_MODE_DISCONNECT);
-
-       omap2430_set_power(musb, false, glue->cable_connected);
 }
 
 static int omap2430_musb_exit(struct musb *musb)
@@ -571,7 +517,7 @@ static int omap2430_probe(struct platform_device *pdev)
 
        pm_runtime_enable(glue->dev);
        pm_runtime_use_autosuspend(glue->dev);
-       pm_runtime_set_autosuspend_delay(glue->dev, 500);
+       pm_runtime_set_autosuspend_delay(glue->dev, 100);
 
        ret = platform_device_add(musb);
        if (ret) {
@@ -591,11 +537,9 @@ err0:
 static int omap2430_remove(struct platform_device *pdev)
 {
        struct omap2430_glue *glue = platform_get_drvdata(pdev);
-       struct musb *musb = glue_to_musb(glue);
 
        pm_runtime_get_sync(glue->dev);
        platform_device_unregister(glue->musb);
-       omap2430_set_power(musb, false, false);
        pm_runtime_put_sync(glue->dev);
        pm_runtime_dont_use_autosuspend(glue->dev);
        pm_runtime_disable(glue->dev);
index c6ee166..1408245 100644 (file)
@@ -74,6 +74,7 @@
 #define SUNXI_MUSB_FL_HAS_SRAM                 5
 #define SUNXI_MUSB_FL_HAS_RESET                        6
 #define SUNXI_MUSB_FL_NO_CONFIGDATA            7
+#define SUNXI_MUSB_FL_PHY_MODE_PEND            8
 
 /* Our read/write methods need access and do not get passed in a musb ref :| */
 static struct musb *sunxi_musb;
@@ -87,6 +88,7 @@ struct sunxi_glue {
        struct phy              *phy;
        struct platform_device  *usb_phy;
        struct usb_phy          *xceiv;
+       enum phy_mode           phy_mode;
        unsigned long           flags;
        struct work_struct      work;
        struct extcon_dev       *extcon;
@@ -140,6 +142,9 @@ static void sunxi_musb_work(struct work_struct *work)
                        clear_bit(SUNXI_MUSB_FL_PHY_ON, &glue->flags);
                }
        }
+
+       if (test_and_clear_bit(SUNXI_MUSB_FL_PHY_MODE_PEND, &glue->flags))
+               phy_set_mode(glue->phy, glue->phy_mode);
 }
 
 static void sunxi_musb_set_vbus(struct musb *musb, int is_on)
@@ -341,6 +346,50 @@ static void sunxi_musb_dma_controller_destroy(struct dma_controller *c)
 {
 }
 
+static int sunxi_musb_set_mode(struct musb *musb, u8 mode)
+{
+       struct sunxi_glue *glue = dev_get_drvdata(musb->controller->parent);
+       enum phy_mode new_mode;
+
+       switch (mode) {
+       case MUSB_HOST:
+               new_mode = PHY_MODE_USB_HOST;
+               break;
+       case MUSB_PERIPHERAL:
+               new_mode = PHY_MODE_USB_DEVICE;
+               break;
+       case MUSB_OTG:
+               new_mode = PHY_MODE_USB_OTG;
+               break;
+       default:
+               dev_err(musb->controller->parent,
+                       "Error requested mode not supported by this kernel\n");
+               return -EINVAL;
+       }
+
+       if (glue->phy_mode == new_mode)
+               return 0;
+
+       if (musb->port_mode != MUSB_PORT_MODE_DUAL_ROLE) {
+               dev_err(musb->controller->parent,
+                       "Error changing modes is only supported in dual role mode\n");
+               return -EINVAL;
+       }
+
+       if (musb->port1_status & USB_PORT_STAT_ENABLE)
+               musb_root_disconnect(musb);
+
+       /*
+        * phy_set_mode may sleep, and we're called with a spinlock held,
+        * so let sunxi_musb_work deal with it.
+        */
+       glue->phy_mode = new_mode;
+       set_bit(SUNXI_MUSB_FL_PHY_MODE_PEND, &glue->flags);
+       schedule_work(&glue->work);
+
+       return 0;
+}
+
 /*
  * sunxi musb register layout
  * 0x00 - 0x17 fifo regs, 1 long per fifo
@@ -568,6 +617,7 @@ static const struct musb_platform_ops sunxi_musb_ops = {
        .writew         = sunxi_musb_writew,
        .dma_init       = sunxi_musb_dma_controller_create,
        .dma_exit       = sunxi_musb_dma_controller_destroy,
+       .set_mode       = sunxi_musb_set_mode,
        .set_vbus       = sunxi_musb_set_vbus,
        .pre_root_reset_end = sunxi_musb_pre_root_reset_end,
        .post_root_reset_end = sunxi_musb_post_root_reset_end,
@@ -614,21 +664,28 @@ static int sunxi_musb_probe(struct platform_device *pdev)
                return -EINVAL;
        }
 
+       glue = devm_kzalloc(&pdev->dev, sizeof(*glue), GFP_KERNEL);
+       if (!glue)
+               return -ENOMEM;
+
        memset(&pdata, 0, sizeof(pdata));
        switch (usb_get_dr_mode(&pdev->dev)) {
 #if defined CONFIG_USB_MUSB_DUAL_ROLE || defined CONFIG_USB_MUSB_HOST
        case USB_DR_MODE_HOST:
                pdata.mode = MUSB_PORT_MODE_HOST;
+               glue->phy_mode = PHY_MODE_USB_HOST;
                break;
 #endif
 #if defined CONFIG_USB_MUSB_DUAL_ROLE || defined CONFIG_USB_MUSB_GADGET
        case USB_DR_MODE_PERIPHERAL:
                pdata.mode = MUSB_PORT_MODE_GADGET;
+               glue->phy_mode = PHY_MODE_USB_DEVICE;
                break;
 #endif
 #ifdef CONFIG_USB_MUSB_DUAL_ROLE
        case USB_DR_MODE_OTG:
                pdata.mode = MUSB_PORT_MODE_DUAL_ROLE;
+               glue->phy_mode = PHY_MODE_USB_OTG;
                break;
 #endif
        default:
@@ -638,10 +695,6 @@ static int sunxi_musb_probe(struct platform_device *pdev)
        pdata.platform_ops      = &sunxi_musb_ops;
        pdata.config            = &sunxi_musb_hdrc_config;
 
-       glue = devm_kzalloc(&pdev->dev, sizeof(*glue), GFP_KERNEL);
-       if (!glue)
-               return -ENOMEM;
-
        glue->dev = &pdev->dev;
        INIT_WORK(&glue->work, sunxi_musb_work);
        glue->host_nb.notifier_call = sunxi_musb_host_notifier;
index 0c912d3..a03caf4 100644 (file)
@@ -1248,7 +1248,7 @@ static void ab8500_usb_set_ab8500_tuning_values(struct ab8500_usb *ab)
        err = abx500_set_register_interruptible(ab->dev,
                        AB8500_DEBUG, AB8500_USB_PHY_TUNE3, 0x78);
        if (err < 0)
-               dev_err(ab->dev, "Failed to set PHY_TUNE3 regester err=%d\n",
+               dev_err(ab->dev, "Failed to set PHY_TUNE3 register err=%d\n",
                                err);
 
        /* Switch to normal mode/disable Bank 0x12 access */
@@ -1290,7 +1290,7 @@ static void ab8500_usb_set_ab8505_tuning_values(struct ab8500_usb *ab)
                        0xFC, 0x80);
 
        if (err < 0)
-               dev_err(ab->dev, "Failed to set PHY_TUNE3 regester err=%d\n",
+               dev_err(ab->dev, "Failed to set PHY_TUNE3 register err=%d\n",
                                err);
 
        /* Switch to normal mode/disable Bank 0x12 access */
@@ -1321,7 +1321,7 @@ static void ab8500_usb_set_ab8540_tuning_values(struct ab8500_usb *ab)
        err = abx500_set_register_interruptible(ab->dev,
                        AB8540_DEBUG, AB8500_USB_PHY_TUNE3, 0x90);
        if (err < 0)
-               dev_err(ab->dev, "Failed to set PHY_TUNE3 regester ret=%d\n",
+               dev_err(ab->dev, "Failed to set PHY_TUNE3 register ret=%d\n",
                                err);
 }
 
@@ -1351,7 +1351,7 @@ static void ab8500_usb_set_ab9540_tuning_values(struct ab8500_usb *ab)
        err = abx500_set_register_interruptible(ab->dev,
                        AB8500_DEBUG, AB8500_USB_PHY_TUNE3, 0x80);
        if (err < 0)
-               dev_err(ab->dev, "Failed to set PHY_TUNE3 regester err=%d\n",
+               dev_err(ab->dev, "Failed to set PHY_TUNE3 register err=%d\n",
                                err);
 
        /* Switch to normal mode/disable Bank 0x12 access */
index 427efb5..8311ba2 100644 (file)
@@ -118,8 +118,6 @@ static irqreturn_t nop_gpio_vbus_thread(int irq, void *data)
                status = USB_EVENT_VBUS;
                otg->state = OTG_STATE_B_PERIPHERAL;
                nop->phy.last_event = status;
-               if (otg->gadget)
-                       usb_gadget_vbus_connect(otg->gadget);
 
                /* drawing a "unit load" is *always* OK, except for OTG */
                nop_set_vbus_draw(nop, 100);
@@ -129,8 +127,6 @@ static irqreturn_t nop_gpio_vbus_thread(int irq, void *data)
        } else {
                nop_set_vbus_draw(nop, 0);
 
-               if (otg->gadget)
-                       usb_gadget_vbus_disconnect(otg->gadget);
                status = USB_EVENT_NONE;
                otg->state = OTG_STATE_B_IDLE;
                nop->phy.last_event = status;
@@ -191,7 +187,8 @@ static int nop_set_peripheral(struct usb_otg *otg, struct usb_gadget *gadget)
 
        otg->gadget = gadget;
        if (otg->state == OTG_STATE_B_PERIPHERAL)
-               usb_gadget_vbus_connect(gadget);
+               atomic_notifier_call_chain(&otg->usb_phy->notifier,
+                                          USB_EVENT_VBUS, otg->gadget);
        else
                otg->state = OTG_STATE_B_IDLE;
        return 0;
@@ -326,6 +323,8 @@ static int usb_phy_generic_probe(struct platform_device *pdev)
                                gpiod_to_irq(nop->gpiod_vbus), err);
                        return err;
                }
+               nop->phy.otg->state = gpiod_get_value(nop->gpiod_vbus) ?
+                       OTG_STATE_B_PERIPHERAL : OTG_STATE_B_IDLE;
        }
 
        nop->phy.init           = usb_gen_phy_init;
index 00bfea0..0e2f1a3 100644 (file)
@@ -27,6 +27,7 @@
 #define DRIVER_NAME "mxs_phy"
 
 #define HW_USBPHY_PWD                          0x00
+#define HW_USBPHY_TX                           0x10
 #define HW_USBPHY_CTRL                         0x30
 #define HW_USBPHY_CTRL_SET                     0x34
 #define HW_USBPHY_CTRL_CLR                     0x38
 #define HW_USBPHY_IP_SET                       0x94
 #define HW_USBPHY_IP_CLR                       0x98
 
+#define GM_USBPHY_TX_TXCAL45DP(x)            (((x) & 0xf) << 16)
+#define GM_USBPHY_TX_TXCAL45DN(x)            (((x) & 0xf) << 8)
+#define GM_USBPHY_TX_D_CAL(x)                (((x) & 0xf) << 0)
+
 #define BM_USBPHY_CTRL_SFTRST                  BIT(31)
 #define BM_USBPHY_CTRL_CLKGATE                 BIT(30)
 #define BM_USBPHY_CTRL_OTG_ID_VALUE            BIT(27)
  */
 #define MXS_PHY_NEED_IP_FIX                    BIT(3)
 
+/* Minimum and maximum values for device tree entries */
+#define MXS_PHY_TX_CAL45_MIN                   30
+#define MXS_PHY_TX_CAL45_MAX                   55
+#define MXS_PHY_TX_D_CAL_MIN                   79
+#define MXS_PHY_TX_D_CAL_MAX                   119
+
 struct mxs_phy_data {
        unsigned int flags;
 };
@@ -164,6 +175,8 @@ struct mxs_phy {
        const struct mxs_phy_data *data;
        struct regmap *regmap_anatop;
        int port_id;
+       u32 tx_reg_set;
+       u32 tx_reg_mask;
 };
 
 static inline bool is_imx6q_phy(struct mxs_phy *mxs_phy)
@@ -185,6 +198,20 @@ static void mxs_phy_clock_switch_delay(void)
        usleep_range(300, 400);
 }
 
+static void mxs_phy_tx_init(struct mxs_phy *mxs_phy)
+{
+       void __iomem *base = mxs_phy->phy.io_priv;
+       u32 phytx;
+
+       /* Update TX register if there is anything to write */
+       if (mxs_phy->tx_reg_mask) {
+               phytx = readl(base + HW_USBPHY_TX);
+               phytx &= ~mxs_phy->tx_reg_mask;
+               phytx |= mxs_phy->tx_reg_set;
+               writel(phytx, base + HW_USBPHY_TX);
+       }
+}
+
 static int mxs_phy_hw_init(struct mxs_phy *mxs_phy)
 {
        int ret;
@@ -214,6 +241,8 @@ static int mxs_phy_hw_init(struct mxs_phy *mxs_phy)
        if (mxs_phy->data->flags & MXS_PHY_NEED_IP_FIX)
                writel(BM_USBPHY_IP_FIX, base + HW_USBPHY_IP_SET);
 
+       mxs_phy_tx_init(mxs_phy);
+
        return 0;
 }
 
@@ -459,6 +488,7 @@ static int mxs_phy_probe(struct platform_device *pdev)
        int ret;
        const struct of_device_id *of_id;
        struct device_node *np = pdev->dev.of_node;
+       u32 val;
 
        of_id = of_match_device(mxs_phy_dt_ids, &pdev->dev);
        if (!of_id)
@@ -491,6 +521,37 @@ static int mxs_phy_probe(struct platform_device *pdev)
                }
        }
 
+       /* Precompute which bits of the TX register are to be updated, if any */
+       if (!of_property_read_u32(np, "fsl,tx-cal-45-dn-ohms", &val) &&
+           val >= MXS_PHY_TX_CAL45_MIN && val <= MXS_PHY_TX_CAL45_MAX) {
+               /* Scale to a 4-bit value */
+               val = (MXS_PHY_TX_CAL45_MAX - val) * 0xF
+                       / (MXS_PHY_TX_CAL45_MAX - MXS_PHY_TX_CAL45_MIN);
+               mxs_phy->tx_reg_mask |= GM_USBPHY_TX_TXCAL45DN(~0);
+               mxs_phy->tx_reg_set  |= GM_USBPHY_TX_TXCAL45DN(val);
+       }
+
+       if (!of_property_read_u32(np, "fsl,tx-cal-45-dp-ohms", &val) &&
+           val >= MXS_PHY_TX_CAL45_MIN && val <= MXS_PHY_TX_CAL45_MAX) {
+               /* Scale to a 4-bit value. */
+               val = (MXS_PHY_TX_CAL45_MAX - val) * 0xF
+                       / (MXS_PHY_TX_CAL45_MAX - MXS_PHY_TX_CAL45_MIN);
+               mxs_phy->tx_reg_mask |= GM_USBPHY_TX_TXCAL45DP(~0);
+               mxs_phy->tx_reg_set  |= GM_USBPHY_TX_TXCAL45DP(val);
+       }
+
+       if (!of_property_read_u32(np, "fsl,tx-d-cal", &val) &&
+           val >= MXS_PHY_TX_D_CAL_MIN && val <= MXS_PHY_TX_D_CAL_MAX) {
+               /* Scale to a 4-bit value.  Round up the values and heavily
+                * weight the rounding by adding 2/3 of the denominator.
+                */
+               val = ((MXS_PHY_TX_D_CAL_MAX - val) * 0xF
+                       + (MXS_PHY_TX_D_CAL_MAX - MXS_PHY_TX_D_CAL_MIN) * 2/3)
+                       / (MXS_PHY_TX_D_CAL_MAX - MXS_PHY_TX_D_CAL_MIN);
+               mxs_phy->tx_reg_mask |= GM_USBPHY_TX_D_CAL(~0);
+               mxs_phy->tx_reg_set  |= GM_USBPHY_TX_D_CAL(val);
+       }
+
        ret = of_alias_get_id(np, "usbphy");
        if (ret < 0)
                dev_dbg(&pdev->dev, "failed to get alias id, errno %d\n", ret);
index ac67bab..012a37a 100644 (file)
@@ -481,6 +481,10 @@ static const struct of_device_id usbhs_of_match[] = {
                .compatible = "renesas,usbhs-r8a7795",
                .data = (void *)USBHS_TYPE_RCAR_GEN3,
        },
+       {
+               .compatible = "renesas,usbhs-r8a7796",
+               .data = (void *)USBHS_TYPE_RCAR_GEN3,
+       },
        {
                .compatible = "renesas,rcar-gen2-usbhs",
                .data = (void *)USBHS_TYPE_RCAR_GEN2,
index c4c6474..5bc7a61 100644 (file)
@@ -335,7 +335,6 @@ static void __usbhsg_recip_send_status(struct usbhsg_gpriv *gpriv,
        buf = kmalloc(sizeof(*buf), GFP_ATOMIC);
        if (!buf) {
                usb_ep_free_request(&dcp->ep, req);
-               dev_err(dev, "recip data allocation fail\n");
                return;
        }
 
@@ -1062,14 +1061,11 @@ int usbhs_mod_gadget_probe(struct usbhs_priv *priv)
        int ret;
 
        gpriv = kzalloc(sizeof(struct usbhsg_gpriv), GFP_KERNEL);
-       if (!gpriv) {
-               dev_err(dev, "Could not allocate gadget priv\n");
+       if (!gpriv)
                return -ENOMEM;
-       }
 
        uep = kzalloc(sizeof(struct usbhsg_uep) * pipe_size, GFP_KERNEL);
        if (!uep) {
-               dev_err(dev, "Could not allocate ep\n");
                ret = -ENOMEM;
                goto usbhs_mod_gadget_probe_err_gpriv;
        }
@@ -1106,6 +1102,8 @@ int usbhs_mod_gadget_probe(struct usbhs_priv *priv)
        gpriv->gadget.name              = "renesas_usbhs_udc";
        gpriv->gadget.ops               = &usbhsg_gadget_ops;
        gpriv->gadget.max_speed         = USB_SPEED_HIGH;
+       gpriv->gadget.quirk_avoids_skb_reserve = usbhs_get_dparam(priv,
+                                                               has_usb_dmac);
 
        INIT_LIST_HEAD(&gpriv->gadget.ep_list);
 
index 3bf0b72..165e81b 100644 (file)
@@ -166,14 +166,10 @@ static struct usbhsh_request *usbhsh_ureq_alloc(struct usbhsh_hpriv *hpriv,
                                               gfp_t mem_flags)
 {
        struct usbhsh_request *ureq;
-       struct usbhs_priv *priv = usbhsh_hpriv_to_priv(hpriv);
-       struct device *dev = usbhs_priv_to_dev(priv);
 
        ureq = kzalloc(sizeof(struct usbhsh_request), mem_flags);
-       if (!ureq) {
-               dev_err(dev, "ureq alloc fail\n");
+       if (!ureq)
                return NULL;
-       }
 
        usbhs_pkt_init(&ureq->pkt);
        ureq->urb = urb;
@@ -388,10 +384,8 @@ static int usbhsh_endpoint_attach(struct usbhsh_hpriv *hpriv,
        unsigned long flags;
 
        uep = kzalloc(sizeof(struct usbhsh_ep), mem_flags);
-       if (!uep) {
-               dev_err(dev, "usbhsh_ep alloc fail\n");
+       if (!uep)
                return -ENOMEM;
-       }
 
        /********************  spin lock ********************/
        usbhs_lock(priv, flags);
index c238772..9396a8c 100644 (file)
@@ -804,10 +804,8 @@ int usbhs_pipe_probe(struct usbhs_priv *priv)
        }
 
        info->pipe = kzalloc(sizeof(struct usbhs_pipe) * pipe_size, GFP_KERNEL);
-       if (!info->pipe) {
-               dev_err(dev, "Could not allocate pipe\n");
+       if (!info->pipe)
                return -ENOMEM;
-       }
 
        info->size = pipe_size;
 
index 4d6a5c6..54a4de0 100644 (file)
@@ -118,6 +118,7 @@ static const struct usb_device_id id_table[] = {
        { USB_DEVICE(0x10C4, 0x8411) }, /* Kyocera GPS Module */
        { USB_DEVICE(0x10C4, 0x8418) }, /* IRZ Automation Teleport SG-10 GSM/GPRS Modem */
        { USB_DEVICE(0x10C4, 0x846E) }, /* BEI USB Sensor Interface (VCP) */
+       { USB_DEVICE(0x10C4, 0x8470) }, /* Juniper Networks BX Series System Console */
        { USB_DEVICE(0x10C4, 0x8477) }, /* Balluff RFID */
        { USB_DEVICE(0x10C4, 0x84B6) }, /* Starizona Hyperion */
        { USB_DEVICE(0x10C4, 0x85EA) }, /* AC-Services IBUS-IF */
index 4f7e072..e49ad0c 100644 (file)
 #include <linux/usb/ezusb.h>
 
 /* make a simple define to handle if we are compiling keyspan_pda or xircom support */
-#if defined(CONFIG_USB_SERIAL_KEYSPAN_PDA) || defined(CONFIG_USB_SERIAL_KEYSPAN_PDA_MODULE)
+#if IS_ENABLED(CONFIG_USB_SERIAL_KEYSPAN_PDA)
        #define KEYSPAN
 #else
        #undef KEYSPAN
 #endif
-#if defined(CONFIG_USB_SERIAL_XIRCOM) || defined(CONFIG_USB_SERIAL_XIRCOM_MODULE)
+#if IS_ENABLED(CONFIG_USB_SERIAL_XIRCOM)
        #define XIRCOM
 #else
        #undef XIRCOM
index 07b4bf0..a8b9bdb 100644 (file)
 
 /* Config struct */
 struct ti_uart_config {
-       __u16   wBaudRate;
-       __u16   wFlags;
-       __u8    bDataBits;
-       __u8    bParity;
-       __u8    bStopBits;
+       __be16  wBaudRate;
+       __be16  wFlags;
+       u8      bDataBits;
+       u8      bParity;
+       u8      bStopBits;
        char    cXon;
        char    cXoff;
-       __u8    bUartMode;
+       u8      bUartMode;
 } __packed;
 
 /* Get port status */
 struct ti_port_status {
-       __u8    bCmdCode;
-       __u8    bModuleId;
-       __u8    bErrorCode;
-       __u8    bMSR;
-       __u8    bLSR;
+       u8 bCmdCode;
+       u8 bModuleId;
+       u8 bErrorCode;
+       u8 bMSR;
+       u8 bLSR;
 } __packed;
 
 /* Purge modes */
@@ -218,12 +218,12 @@ struct ti_port_status {
 #define TI_RW_DATA_DOUBLE_WORD         0x04
 
 struct ti_write_data_bytes {
-       __u8    bAddrType;
-       __u8    bDataType;
-       __u8    bDataCounter;
+       u8      bAddrType;
+       u8      bDataType;
+       u8      bDataCounter;
        __be16  wBaseAddrHi;
        __be16  wBaseAddrLo;
-       __u8    bData[0];
+       u8      bData[0];
 } __packed;
 
 struct ti_read_data_request {
@@ -258,7 +258,7 @@ struct ti_interrupt {
 /* Firmware image header */
 struct ti_firmware_header {
        __le16  wLength;
-       __u8    bCheckSum;
+       u8      bCheckSum;
 } __packed;
 
 /* UART addresses */
@@ -276,9 +276,6 @@ struct ti_firmware_header {
 
 #define TI_DEFAULT_CLOSING_WAIT        4000            /* in .01 secs */
 
-/* supported setserial flags */
-#define TI_SET_SERIAL_FLAGS    0
-
 /* read urb states */
 #define TI_READ_URB_RUNNING    0
 #define TI_READ_URB_STOPPING   1
@@ -288,11 +285,10 @@ struct ti_firmware_header {
 
 struct ti_port {
        int                     tp_is_open;
-       __u8                    tp_msr;
-       __u8                    tp_shadow_mcr;
-       __u8                    tp_uart_mode;   /* 232 or 485 modes */
+       u8                      tp_msr;
+       u8                      tp_shadow_mcr;
+       u8                      tp_uart_mode;   /* 232 or 485 modes */
        unsigned int            tp_uart_base_addr;
-       int                     tp_flags;
        struct ti_device        *tp_tdev;
        struct usb_serial_port  *tp_port;
        spinlock_t              tp_lock;
@@ -306,7 +302,6 @@ struct ti_device {
        struct usb_serial       *td_serial;
        int                     td_is_3410;
        bool                    td_rs485_only;
-       int                     td_urb_error;
 };
 
 static int ti_startup(struct usb_serial *serial);
@@ -343,7 +338,7 @@ static int ti_get_serial_info(struct ti_port *tport,
        struct serial_struct __user *ret_arg);
 static int ti_set_serial_info(struct tty_struct *tty, struct ti_port *tport,
        struct serial_struct __user *new_arg);
-static void ti_handle_new_msr(struct ti_port *tport, __u8 msr);
+static void ti_handle_new_msr(struct ti_port *tport, u8 msr);
 
 static void ti_stop_read(struct ti_port *tport, struct tty_struct *tty);
 static int ti_restart_read(struct ti_port *tport, struct tty_struct *tty);
@@ -354,7 +349,7 @@ static int ti_command_in_sync(struct ti_device *tdev, __u8 command,
        __u16 moduleid, __u16 value, __u8 *data, int size);
 
 static int ti_write_byte(struct usb_serial_port *port, struct ti_device *tdev,
-                        unsigned long addr, __u8 mask, __u8 byte);
+                        unsigned long addr, u8 mask, u8 byte);
 
 static int ti_download_firmware(struct ti_device *tdev);
 
@@ -647,12 +642,11 @@ static int ti_open(struct tty_struct *tty, struct usb_serial_port *port)
        struct urb *urb;
        int port_number;
        int status;
-       __u16 open_settings = (__u8)(TI_PIPE_MODE_CONTINUOUS |
-                            TI_PIPE_TIMEOUT_ENABLE |
-                            (TI_TRANSFER_TIMEOUT << 2));
+       u16 open_settings;
 
-       if (tport == NULL)
-               return -ENODEV;
+       open_settings = (TI_PIPE_MODE_CONTINUOUS |
+                        TI_PIPE_TIMEOUT_ENABLE |
+                        (TI_TRANSFER_TIMEOUT << 2));
 
        dev = port->serial->dev;
        tdev = tport->tp_tdev;
@@ -686,7 +680,6 @@ static int ti_open(struct tty_struct *tty, struct usb_serial_port *port)
        if (tty)
                ti_set_termios(tty, port, &tty->termios);
 
-       dev_dbg(&port->dev, "%s - sending TI_OPEN_PORT\n", __func__);
        status = ti_command_out_sync(tdev, TI_OPEN_PORT,
                (__u8)(TI_UART1_PORT + port_number), open_settings, NULL, 0);
        if (status) {
@@ -695,7 +688,6 @@ static int ti_open(struct tty_struct *tty, struct usb_serial_port *port)
                goto unlink_int_urb;
        }
 
-       dev_dbg(&port->dev, "%s - sending TI_START_PORT\n", __func__);
        status = ti_command_out_sync(tdev, TI_START_PORT,
                (__u8)(TI_UART1_PORT + port_number), 0, NULL, 0);
        if (status) {
@@ -704,7 +696,6 @@ static int ti_open(struct tty_struct *tty, struct usb_serial_port *port)
                goto unlink_int_urb;
        }
 
-       dev_dbg(&port->dev, "%s - sending TI_PURGE_PORT\n", __func__);
        status = ti_command_out_sync(tdev, TI_PURGE_PORT,
                (__u8)(TI_UART1_PORT + port_number), TI_PURGE_INPUT, NULL, 0);
        if (status) {
@@ -728,7 +719,6 @@ static int ti_open(struct tty_struct *tty, struct usb_serial_port *port)
        if (tty)
                ti_set_termios(tty, port, &tty->termios);
 
-       dev_dbg(&port->dev, "%s - sending TI_OPEN_PORT (2)\n", __func__);
        status = ti_command_out_sync(tdev, TI_OPEN_PORT,
                (__u8)(TI_UART1_PORT + port_number), open_settings, NULL, 0);
        if (status) {
@@ -737,7 +727,6 @@ static int ti_open(struct tty_struct *tty, struct usb_serial_port *port)
                goto unlink_int_urb;
        }
 
-       dev_dbg(&port->dev, "%s - sending TI_START_PORT (2)\n", __func__);
        status = ti_command_out_sync(tdev, TI_START_PORT,
                (__u8)(TI_UART1_PORT + port_number), 0, NULL, 0);
        if (status) {
@@ -747,7 +736,6 @@ static int ti_open(struct tty_struct *tty, struct usb_serial_port *port)
        }
 
        /* start read urb */
-       dev_dbg(&port->dev, "%s - start read urb\n", __func__);
        urb = port->read_urb;
        if (!urb) {
                dev_err(&port->dev, "%s - no read urb\n", __func__);
@@ -773,7 +761,6 @@ unlink_int_urb:
                usb_kill_urb(port->serial->port[0]->interrupt_in_urb);
 release_lock:
        mutex_unlock(&tdev->td_open_close_lock);
-       dev_dbg(&port->dev, "%s - exit %d\n", __func__, status);
        return status;
 }
 
@@ -789,8 +776,6 @@ static void ti_close(struct usb_serial_port *port)
 
        tdev = usb_get_serial_data(port->serial);
        tport = usb_get_serial_port_data(port);
-       if (tdev == NULL || tport == NULL)
-               return;
 
        tport->tp_is_open = 0;
 
@@ -803,7 +788,6 @@ static void ti_close(struct usb_serial_port *port)
 
        port_number = port->port_number;
 
-       dev_dbg(&port->dev, "%s - sending TI_CLOSE_PORT\n", __func__);
        status = ti_command_out_sync(tdev, TI_CLOSE_PORT,
                     (__u8)(TI_UART1_PORT + port_number), 0, NULL, 0);
        if (status)
@@ -830,11 +814,10 @@ static int ti_write(struct tty_struct *tty, struct usb_serial_port *port,
        struct ti_port *tport = usb_get_serial_port_data(port);
 
        if (count == 0) {
-               dev_dbg(&port->dev, "%s - write request of 0 bytes\n", __func__);
                return 0;
        }
 
-       if (tport == NULL || !tport->tp_is_open)
+       if (!tport->tp_is_open)
                return -ENODEV;
 
        count = kfifo_in_locked(&port->write_fifo, data, count,
@@ -852,9 +835,6 @@ static int ti_write_room(struct tty_struct *tty)
        int room = 0;
        unsigned long flags;
 
-       if (tport == NULL)
-               return 0;
-
        spin_lock_irqsave(&tport->tp_lock, flags);
        room = kfifo_avail(&port->write_fifo);
        spin_unlock_irqrestore(&tport->tp_lock, flags);
@@ -871,9 +851,6 @@ static int ti_chars_in_buffer(struct tty_struct *tty)
        int chars = 0;
        unsigned long flags;
 
-       if (tport == NULL)
-               return 0;
-
        spin_lock_irqsave(&tport->tp_lock, flags);
        chars = kfifo_len(&port->write_fifo);
        spin_unlock_irqrestore(&tport->tp_lock, flags);
@@ -900,9 +877,6 @@ static void ti_throttle(struct tty_struct *tty)
        struct usb_serial_port *port = tty->driver_data;
        struct ti_port *tport = usb_get_serial_port_data(port);
 
-       if (tport == NULL)
-               return;
-
        if (I_IXOFF(tty) || C_CRTSCTS(tty))
                ti_stop_read(tport, tty);
 
@@ -915,9 +889,6 @@ static void ti_unthrottle(struct tty_struct *tty)
        struct ti_port *tport = usb_get_serial_port_data(port);
        int status;
 
-       if (tport == NULL)
-               return;
-
        if (I_IXOFF(tty) || C_CRTSCTS(tty)) {
                status = ti_restart_read(tport, tty);
                if (status)
@@ -932,16 +903,11 @@ static int ti_ioctl(struct tty_struct *tty,
        struct usb_serial_port *port = tty->driver_data;
        struct ti_port *tport = usb_get_serial_port_data(port);
 
-       if (tport == NULL)
-               return -ENODEV;
-
        switch (cmd) {
        case TIOCGSERIAL:
-               dev_dbg(&port->dev, "%s - TIOCGSERIAL\n", __func__);
                return ti_get_serial_info(tport,
                                (struct serial_struct __user *)arg);
        case TIOCSSERIAL:
-               dev_dbg(&port->dev, "%s - TIOCSSERIAL\n", __func__);
                return ti_set_serial_info(tty, tport,
                                (struct serial_struct __user *)arg);
        }
@@ -959,6 +925,8 @@ static void ti_set_termios(struct tty_struct *tty,
        int status;
        int port_number = port->port_number;
        unsigned int mcr;
+       u16 wbaudrate;
+       u16 wflags = 0;
 
        cflag = tty->termios.c_cflag;
        iflag = tty->termios.c_iflag;
@@ -967,21 +935,16 @@ static void ti_set_termios(struct tty_struct *tty,
        dev_dbg(&port->dev, "%s - old clfag %08x, old iflag %08x\n", __func__,
                old_termios->c_cflag, old_termios->c_iflag);
 
-       if (tport == NULL)
-               return;
-
        config = kmalloc(sizeof(*config), GFP_KERNEL);
        if (!config)
                return;
 
-       config->wFlags = 0;
-
        /* these flags must be set */
-       config->wFlags |= TI_UART_ENABLE_MS_INTS;
-       config->wFlags |= TI_UART_ENABLE_AUTO_START_DMA;
-       config->bUartMode = (__u8)(tport->tp_uart_mode);
+       wflags |= TI_UART_ENABLE_MS_INTS;
+       wflags |= TI_UART_ENABLE_AUTO_START_DMA;
+       config->bUartMode = tport->tp_uart_mode;
 
-       switch (cflag & CSIZE) {
+       switch (C_CSIZE(tty)) {
        case CS5:
                    config->bDataBits = TI_UART_5_DATA_BITS;
                    break;
@@ -1000,29 +963,29 @@ static void ti_set_termios(struct tty_struct *tty,
        /* CMSPAR isn't supported by this driver */
        tty->termios.c_cflag &= ~CMSPAR;
 
-       if (cflag & PARENB) {
-               if (cflag & PARODD) {
-                       config->wFlags |= TI_UART_ENABLE_PARITY_CHECKING;
+       if (C_PARENB(tty)) {
+               if (C_PARODD(tty)) {
+                       wflags |= TI_UART_ENABLE_PARITY_CHECKING;
                        config->bParity = TI_UART_ODD_PARITY;
                } else {
-                       config->wFlags |= TI_UART_ENABLE_PARITY_CHECKING;
+                       wflags |= TI_UART_ENABLE_PARITY_CHECKING;
                        config->bParity = TI_UART_EVEN_PARITY;
                }
        } else {
-               config->wFlags &= ~TI_UART_ENABLE_PARITY_CHECKING;
+               wflags &= ~TI_UART_ENABLE_PARITY_CHECKING;
                config->bParity = TI_UART_NO_PARITY;
        }
 
-       if (cflag & CSTOPB)
+       if (C_CSTOPB(tty))
                config->bStopBits = TI_UART_2_STOP_BITS;
        else
                config->bStopBits = TI_UART_1_STOP_BITS;
 
-       if (cflag & CRTSCTS) {
+       if (C_CRTSCTS(tty)) {
                /* RTS flow control must be off to drop RTS for baud rate B0 */
-               if ((cflag & CBAUD) != B0)
-                       config->wFlags |= TI_UART_ENABLE_RTS_IN;
-               config->wFlags |= TI_UART_ENABLE_CTS_OUT;
+               if ((C_BAUD(tty)) != B0)
+                       wflags |= TI_UART_ENABLE_RTS_IN;
+               wflags |= TI_UART_ENABLE_CTS_OUT;
        } else {
                ti_restart_read(tport, tty);
        }
@@ -1032,34 +995,34 @@ static void ti_set_termios(struct tty_struct *tty,
                config->cXoff = STOP_CHAR(tty);
 
                if (I_IXOFF(tty))
-                       config->wFlags |= TI_UART_ENABLE_X_IN;
+                       wflags |= TI_UART_ENABLE_X_IN;
                else
                        ti_restart_read(tport, tty);
 
                if (I_IXON(tty))
-                       config->wFlags |= TI_UART_ENABLE_X_OUT;
+                       wflags |= TI_UART_ENABLE_X_OUT;
        }
 
        baud = tty_get_baud_rate(tty);
        if (!baud)
                baud = 9600;
        if (tport->tp_tdev->td_is_3410)
-               config->wBaudRate = (__u16)((923077 + baud/2) / baud);
+               wbaudrate = (923077 + baud/2) / baud;
        else
-               config->wBaudRate = (__u16)((461538 + baud/2) / baud);
+               wbaudrate = (461538 + baud/2) / baud;
 
        /* FIXME: Should calculate resulting baud here and report it back */
-       if ((cflag & CBAUD) != B0)
+       if ((C_BAUD(tty)) != B0)
                tty_encode_baud_rate(tty, baud, baud);
 
        dev_dbg(&port->dev,
                "%s - BaudRate=%d, wBaudRate=%d, wFlags=0x%04X, bDataBits=%d, bParity=%d, bStopBits=%d, cXon=%d, cXoff=%d, bUartMode=%d\n",
-               __func__, baud, config->wBaudRate, config->wFlags,
+               __func__, baud, wbaudrate, wflags,
                config->bDataBits, config->bParity, config->bStopBits,
                config->cXon, config->cXoff, config->bUartMode);
 
-       cpu_to_be16s(&config->wBaudRate);
-       cpu_to_be16s(&config->wFlags);
+       config->wBaudRate = cpu_to_be16(wbaudrate);
+       config->wFlags = cpu_to_be16(wflags);
 
        status = ti_command_out_sync(tport->tp_tdev, TI_SET_CONFIG,
                (__u8)(TI_UART1_PORT + port_number), 0, (__u8 *)config,
@@ -1071,7 +1034,7 @@ static void ti_set_termios(struct tty_struct *tty,
        /* SET_CONFIG asserts RTS and DTR, reset them correctly */
        mcr = tport->tp_shadow_mcr;
        /* if baud rate is B0, clear RTS and DTR */
-       if ((cflag & CBAUD) == B0)
+       if (C_BAUD(tty) == B0)
                mcr &= ~(TI_MCR_DTR | TI_MCR_RTS);
        status = ti_set_mcr(tport, mcr);
        if (status)
@@ -1092,9 +1055,6 @@ static int ti_tiocmget(struct tty_struct *tty)
        unsigned int mcr;
        unsigned long flags;
 
-       if (tport == NULL)
-               return -ENODEV;
-
        spin_lock_irqsave(&tport->tp_lock, flags);
        msr = tport->tp_msr;
        mcr = tport->tp_shadow_mcr;
@@ -1122,9 +1082,6 @@ static int ti_tiocmset(struct tty_struct *tty,
        unsigned int mcr;
        unsigned long flags;
 
-       if (tport == NULL)
-               return -ENODEV;
-
        spin_lock_irqsave(&tport->tp_lock, flags);
        mcr = tport->tp_shadow_mcr;
 
@@ -1155,9 +1112,6 @@ static void ti_break(struct tty_struct *tty, int break_state)
 
        dev_dbg(&port->dev, "%s - state = %d\n", __func__, break_state);
 
-       if (tport == NULL)
-               return;
-
        status = ti_write_byte(port, tport->tp_tdev,
                tport->tp_uart_base_addr + TI_UART_OFFSET_LCR,
                TI_LCR_BREAK, break_state == -1 ? TI_LCR_BREAK : 0);
@@ -1189,7 +1143,7 @@ static void ti_interrupt_callback(struct urb *urb)
        int function;
        int status = urb->status;
        int retval;
-       __u8 msr;
+       u8 msr;
 
        switch (status) {
        case 0:
@@ -1198,11 +1152,9 @@ static void ti_interrupt_callback(struct urb *urb)
        case -ENOENT:
        case -ESHUTDOWN:
                dev_dbg(dev, "%s - urb shutting down, %d\n", __func__, status);
-               tdev->td_urb_error = 1;
                return;
        default:
                dev_err(dev, "%s - nonzero urb status, %d\n", __func__, status);
-               tdev->td_urb_error = 1;
                goto exit;
        }
 
@@ -1275,12 +1227,10 @@ static void ti_bulk_in_callback(struct urb *urb)
        case -ENOENT:
        case -ESHUTDOWN:
                dev_dbg(dev, "%s - urb shutting down, %d\n", __func__, status);
-               tport->tp_tdev->td_urb_error = 1;
                return;
        default:
                dev_err(dev, "%s - nonzero urb status, %d\n",
                        __func__, status);
-               tport->tp_tdev->td_urb_error = 1;
        }
 
        if (status == -EPIPE)
@@ -1335,12 +1285,10 @@ static void ti_bulk_out_callback(struct urb *urb)
        case -ENOENT:
        case -ESHUTDOWN:
                dev_dbg(&port->dev, "%s - urb shutting down, %d\n", __func__, status);
-               tport->tp_tdev->td_urb_error = 1;
                return;
        default:
                dev_err_console(port, "%s - nonzero urb status, %d\n",
                        __func__, status);
-               tport->tp_tdev->td_urb_error = 1;
        }
 
        /* send any buffered data */
@@ -1490,7 +1438,6 @@ static int ti_get_serial_info(struct ti_port *tport,
        ret_serial.type = PORT_16550A;
        ret_serial.line = port->minor;
        ret_serial.port = port->port_number;
-       ret_serial.flags = tport->tp_flags;
        ret_serial.xmit_fifo_size = kfifo_size(&port->write_fifo);
        ret_serial.baud_base = tport->tp_tdev->td_is_3410 ? 921600 : 460800;
        ret_serial.closing_wait = cwait;
@@ -1515,14 +1462,13 @@ static int ti_set_serial_info(struct tty_struct *tty, struct ti_port *tport,
        if (cwait != ASYNC_CLOSING_WAIT_NONE)
                cwait = msecs_to_jiffies(10 * new_serial.closing_wait);
 
-       tport->tp_flags = new_serial.flags & TI_SET_SERIAL_FLAGS;
        tport->tp_port->port.closing_wait = cwait;
 
        return 0;
 }
 
 
-static void ti_handle_new_msr(struct ti_port *tport, __u8 msr)
+static void ti_handle_new_msr(struct ti_port *tport, u8 msr)
 {
        struct async_icount *icount;
        struct tty_struct *tty;
@@ -1634,8 +1580,8 @@ static int ti_command_in_sync(struct ti_device *tdev, __u8 command,
 
 
 static int ti_write_byte(struct usb_serial_port *port,
-                       struct ti_device *tdev, unsigned long addr,
-                       __u8 mask, __u8 byte)
+                        struct ti_device *tdev, unsigned long addr,
+                        u8 mask, u8 byte)
 {
        int status;
        unsigned int size;
@@ -1679,11 +1625,10 @@ static int ti_do_download(struct usb_device *dev, int pipe,
        int len;
 
        for (pos = sizeof(struct ti_firmware_header); pos < size; pos++)
-               cs = (__u8)(cs + buffer[pos]);
+               cs = (u8)(cs + buffer[pos]);
 
        header = (struct ti_firmware_header *)buffer;
-       header->wLength = cpu_to_le16((__u16)(size
-                                       - sizeof(struct ti_firmware_header)));
+       header->wLength = cpu_to_le16(size - sizeof(*header));
        header->bCheckSum = cs;
 
        dev_dbg(&dev->dev, "%s - downloading firmware\n", __func__);
@@ -1701,7 +1646,7 @@ static int ti_download_firmware(struct ti_device *tdev)
 {
        int status;
        int buffer_size;
-       __u8 *buffer;
+       u8 *buffer;
        struct usb_device *dev = tdev->td_serial->dev;
        unsigned int pipe = usb_sndbulkpipe(dev,
                tdev->td_serial->port[0]->bulk_out_endpointAddress);
index 1d8b03c..878b4b8 100644 (file)
@@ -939,10 +939,8 @@ static int alauda_read_data(struct us_data *us, unsigned long address,
 
        len = min(sectors, blocksize) * (pagesize + 64);
        buffer = kmalloc(len, GFP_NOIO);
-       if (buffer == NULL) {
-               printk(KERN_WARNING "alauda_read_data: Out of memory\n");
+       if (!buffer)
                return USB_STOR_TRANSPORT_ERROR;
-       }
 
        /* Figure out the initial LBA and page */
        lba = address >> blockshift;
@@ -1033,18 +1031,15 @@ static int alauda_write_data(struct us_data *us, unsigned long address,
 
        len = min(sectors, blocksize) * pagesize;
        buffer = kmalloc(len, GFP_NOIO);
-       if (buffer == NULL) {
-               printk(KERN_WARNING "alauda_write_data: Out of memory\n");
+       if (!buffer)
                return USB_STOR_TRANSPORT_ERROR;
-       }
 
        /*
         * We also need a temporary block buffer, where we read in the old data,
         * overwrite parts with the new data, and manipulate the redundancy data
         */
        blockbuffer = kmalloc((pagesize + 64) * blocksize, GFP_NOIO);
-       if (blockbuffer == NULL) {
-               printk(KERN_WARNING "alauda_write_data: Out of memory\n");
+       if (!blockbuffer) {
                kfree(buffer);
                return USB_STOR_TRANSPORT_ERROR;
        }
index 33eb923..8cd2926 100644 (file)
@@ -296,6 +296,14 @@ static int slave_configure(struct scsi_device *sdev)
                if (us->fflags & US_FL_BROKEN_FUA)
                        sdev->broken_fua = 1;
 
+               /* Some even totally fail to indicate a cache */
+               if (us->fflags & US_FL_ALWAYS_SYNC) {
+                       /* don't read caching information */
+                       sdev->skip_ms_page_8 = 1;
+                       sdev->skip_ms_page_3f = 1;
+                       /* assume sync is needed */
+                       sdev->wce_default_on = 1;
+               }
        } else {
 
                /*
index c5797fa..3aeaa53 100644 (file)
@@ -766,10 +766,8 @@ sddr09_read_data(struct us_data *us,
 
        len = min(sectors, (unsigned int) info->blocksize) * info->pagesize;
        buffer = kmalloc(len, GFP_NOIO);
-       if (buffer == NULL) {
-               printk(KERN_WARNING "sddr09_read_data: Out of memory\n");
+       if (!buffer)
                return -ENOMEM;
-       }
 
        // This could be made much more efficient by checking for
        // contiguous LBA's. Another exercise left to the student.
@@ -1004,10 +1002,8 @@ sddr09_write_data(struct us_data *us,
        pagelen = (1 << info->pageshift) + (1 << CONTROL_SHIFT);
        blocklen = (pagelen << info->blockshift);
        blockbuffer = kmalloc(blocklen, GFP_NOIO);
-       if (!blockbuffer) {
-               printk(KERN_WARNING "sddr09_write_data: Out of memory\n");
+       if (!blockbuffer)
                return -ENOMEM;
-       }
 
        /*
         * Since we don't write the user data directly to the device,
@@ -1017,8 +1013,7 @@ sddr09_write_data(struct us_data *us,
 
        len = min(sectors, (unsigned int) info->blocksize) * info->pagesize;
        buffer = kmalloc(len, GFP_NOIO);
-       if (buffer == NULL) {
-               printk(KERN_WARNING "sddr09_write_data: Out of memory\n");
+       if (!buffer) {
                kfree(blockbuffer);
                return -ENOMEM;
        }
@@ -1241,8 +1236,7 @@ sddr09_read_map(struct us_data *us) {
        alloc_blocks = min(numblocks, SDDR09_READ_MAP_BUFSZ >> CONTROL_SHIFT);
        alloc_len = (alloc_blocks << CONTROL_SHIFT);
        buffer = kmalloc(alloc_len, GFP_NOIO);
-       if (buffer == NULL) {
-               printk(KERN_WARNING "sddr09_read_map: out of memory\n");
+       if (!buffer) {
                result = -1;
                goto done;
        }
index aa35392..af3c7ee 100644 (file)
@@ -338,6 +338,13 @@ UNUSUAL_DEV(  0x046b, 0xff40, 0x0100, 0x0100,
                USB_SC_DEVICE, USB_PR_DEVICE, NULL,
                US_FL_NO_WP_DETECT),
 
+/* Reported by Egbert Eich <eich@suse.com> */
+UNUSUAL_DEV(  0x0480, 0xd010, 0x0100, 0x9999,
+               "Toshiba",
+               "External USB 3.0",
+               USB_SC_DEVICE, USB_PR_DEVICE, NULL,
+               US_FL_ALWAYS_SYNC),
+
 /* Patch submitted by Philipp Friedrich <philipp@void.at> */
 UNUSUAL_DEV(  0x0482, 0x0100, 0x0100, 0x0100,
                "Kyocera",
index ef2d8cd..2cba13a 100644 (file)
@@ -498,7 +498,8 @@ void usb_stor_adjust_quirks(struct usb_device *udev, unsigned long *fflags)
                        US_FL_NO_READ_DISC_INFO | US_FL_NO_READ_CAPACITY_16 |
                        US_FL_INITIAL_READ10 | US_FL_WRITE_CACHE |
                        US_FL_NO_ATA_1X | US_FL_NO_REPORT_OPCODES |
-                       US_FL_MAX_SECTORS_240 | US_FL_NO_REPORT_LUNS);
+                       US_FL_MAX_SECTORS_240 | US_FL_NO_REPORT_LUNS |
+                       US_FL_ALWAYS_SYNC);
 
        p = quirks;
        while (*p) {
@@ -581,6 +582,9 @@ void usb_stor_adjust_quirks(struct usb_device *udev, unsigned long *fflags)
                case 'w':
                        f |= US_FL_NO_WP_DETECT;
                        break;
+               case 'y':
+                       f |= US_FL_ALWAYS_SYNC;
+                       break;
                /* Ignore unrecognized flag characters */
                }
        }
@@ -794,10 +798,8 @@ static int usb_stor_acquire_resources(struct us_data *us)
        struct task_struct *th;
 
        us->current_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!us->current_urb) {
-               usb_stor_dbg(us, "URB allocation failed\n");
+       if (!us->current_urb)
                return -ENOMEM;
-       }
 
        /*
         * Just before we start our control thread, initialize
@@ -1070,17 +1072,17 @@ int usb_stor_probe2(struct us_data *us)
        result = usb_stor_acquire_resources(us);
        if (result)
                goto BadDevice;
+       usb_autopm_get_interface_no_resume(us->pusb_intf);
        snprintf(us->scsi_name, sizeof(us->scsi_name), "usb-storage %s",
                                        dev_name(&us->pusb_intf->dev));
        result = scsi_add_host(us_to_host(us), dev);
        if (result) {
                dev_warn(dev,
                                "Unable to add the scsi host\n");
-               goto BadDevice;
+               goto HostAddErr;
        }
 
        /* Submit the delayed_work for SCSI-device scanning */
-       usb_autopm_get_interface_no_resume(us->pusb_intf);
        set_bit(US_FLIDX_SCAN_PENDING, &us->dflags);
 
        if (delay_use > 0)
@@ -1090,6 +1092,8 @@ int usb_stor_probe2(struct us_data *us)
        return 0;
 
        /* We come here if there are any problems */
+HostAddErr:
+       usb_autopm_put_interface_no_suspend(us->pusb_intf);
 BadDevice:
        usb_stor_dbg(us, "storage_probe() failed\n");
        release_everything(us);
index 545d09b..5133a07 100644 (file)
@@ -499,10 +499,8 @@ static int skel_probe(struct usb_interface *interface,
 
        /* allocate memory for our device state and initialize it */
        dev = kzalloc(sizeof(*dev), GFP_KERNEL);
-       if (!dev) {
-               dev_err(&interface->dev, "Out of memory\n");
+       if (!dev)
                goto error;
-       }
        kref_init(&dev->kref);
        sema_init(&dev->limit_sem, WRITES_IN_FLIGHT);
        mutex_init(&dev->io_mutex);
@@ -526,17 +524,11 @@ static int skel_probe(struct usb_interface *interface,
                        dev->bulk_in_size = buffer_size;
                        dev->bulk_in_endpointAddr = endpoint->bEndpointAddress;
                        dev->bulk_in_buffer = kmalloc(buffer_size, GFP_KERNEL);
-                       if (!dev->bulk_in_buffer) {
-                               dev_err(&interface->dev,
-                                       "Could not allocate bulk_in_buffer\n");
+                       if (!dev->bulk_in_buffer)
                                goto error;
-                       }
                        dev->bulk_in_urb = usb_alloc_urb(0, GFP_KERNEL);
-                       if (!dev->bulk_in_urb) {
-                               dev_err(&interface->dev,
-                                       "Could not allocate bulk_in_urb\n");
+                       if (!dev->bulk_in_urb)
                                goto error;
-                       }
                }
 
                if (!dev->bulk_out_endpointAddr &&
index 17646b2..eeefa29 100644 (file)
@@ -1,6 +1,7 @@
 config USBIP_CORE
        tristate "USB/IP support"
-       depends on USB_COMMON && NET
+       depends on NET
+       select USB_COMMON
        ---help---
          This enables pushing USB packets over IP to allow remote
          machines direct access to USB devices. It provides the
@@ -24,6 +25,27 @@ config USBIP_VHCI_HCD
          To compile this driver as a module, choose M here: the
          module will be called vhci-hcd.
 
+config USBIP_VHCI_HC_PORTS
+       int "Number of ports per USB/IP virtual host controller"
+       range 1 31
+       default 8
+       depends on USBIP_VHCI_HCD
+       ---help---
+         To increase number of ports available for USB/IP virtual
+         host controller driver, this defines number of ports per
+         USB/IP virtual host controller.
+
+config USBIP_VHCI_NR_HCS
+       int "Number of USB/IP virtual host controllers"
+       range 1 128
+       default 1
+       depends on USBIP_VHCI_HCD
+       ---help---
+         To increase number of ports available for USB/IP virtual
+         host controller driver, this defines number of USB/IP
+         virtual host controllers as if adding physical host
+         controllers.
+
 config USBIP_HOST
        tristate "Host driver"
        depends on USBIP_CORE && USB
index 2df63e3..191b176 100644 (file)
@@ -461,7 +461,6 @@ static void stub_recv_cmd_submit(struct stub_device *sdev,
                priv->urb = usb_alloc_urb(0, GFP_KERNEL);
 
        if (!priv->urb) {
-               dev_err(&udev->dev, "malloc urb\n");
                usbip_event_add(ud, SDEV_EVENT_ERROR_MALLOC);
                return;
        }
index a863a98..88b71c4 100644 (file)
@@ -1,5 +1,6 @@
 /*
  * Copyright (C) 2003-2008 Takahiro Hirofuchi
+ * Copyright (C) 2015 Nobuo Iwata
  *
  * This is free software; you can redistribute it and/or modify
  * it under the terms of the GNU General Public License as published by
@@ -72,13 +73,25 @@ struct vhci_unlink {
 };
 
 /* Number of supported ports. Value has an upperbound of USB_MAXCHILDREN */
-#define VHCI_NPORTS 8
+#ifdef CONFIG_USBIP_VHCI_HC_PORTS
+#define VHCI_HC_PORTS CONFIG_USBIP_VHCI_HC_PORTS
+#else
+#define VHCI_HC_PORTS 8
+#endif
+
+#ifdef CONFIG_USBIP_VHCI_NR_HCS
+#define VHCI_NR_HCS CONFIG_USBIP_VHCI_NR_HCS
+#else
+#define VHCI_NR_HCS 1
+#endif
+
+#define MAX_STATUS_NAME 16
 
 /* for usb_bus.hcpriv */
 struct vhci_hcd {
        spinlock_t lock;
 
-       u32 port_status[VHCI_NPORTS];
+       u32 port_status[VHCI_HC_PORTS];
 
        unsigned resuming:1;
        unsigned long re_timeout;
@@ -90,14 +103,19 @@ struct vhci_hcd {
         * wIndex shows the port number and begins from 1.
         * But, the index of this array begins from 0.
         */
-       struct vhci_device vdev[VHCI_NPORTS];
+       struct vhci_device vdev[VHCI_HC_PORTS];
 };
 
-extern struct vhci_hcd *the_controller;
-extern const struct attribute_group dev_attr_group;
+extern int vhci_num_controllers;
+extern struct platform_device **vhci_pdevs;
+extern struct attribute_group vhci_attr_group;
 
 /* vhci_hcd.c */
-void rh_port_connect(int rhport, enum usb_device_speed speed);
+void rh_port_connect(struct vhci_device *vdev, enum usb_device_speed speed);
+
+/* vhci_sysfs.c */
+int vhci_init_attr_group(void);
+void vhci_finish_attr_group(void);
 
 /* vhci_rx.c */
 struct urb *pickup_urb_and_free_priv(struct vhci_device *vdev, __u32 seqnum);
@@ -106,9 +124,14 @@ int vhci_rx_loop(void *data);
 /* vhci_tx.c */
 int vhci_tx_loop(void *data);
 
-static inline struct vhci_device *port_to_vdev(__u32 port)
+static inline __u32 port_to_rhport(__u32 port)
+{
+       return port % VHCI_HC_PORTS;
+}
+
+static inline int port_to_pdev_nr(__u32 port)
 {
-       return &the_controller->vdev[port];
+       return port / VHCI_HC_PORTS;
 }
 
 static inline struct vhci_hcd *hcd_to_vhci(struct usb_hcd *hcd)
@@ -116,14 +139,25 @@ static inline struct vhci_hcd *hcd_to_vhci(struct usb_hcd *hcd)
        return (struct vhci_hcd *) (hcd->hcd_priv);
 }
 
+static inline struct device *hcd_dev(struct usb_hcd *hcd)
+{
+       return (hcd)->self.controller;
+}
+
+static inline const char *hcd_name(struct usb_hcd *hcd)
+{
+       return (hcd)->self.bus_name;
+}
+
 static inline struct usb_hcd *vhci_to_hcd(struct vhci_hcd *vhci)
 {
        return container_of((void *) vhci, struct usb_hcd, hcd_priv);
 }
 
-static inline struct device *vhci_dev(struct vhci_hcd *vhci)
+static inline struct vhci_hcd *vdev_to_vhci(struct vhci_device *vdev)
 {
-       return vhci_to_hcd(vhci)->self.controller;
+       return container_of(
+                       (void *)(vdev - vdev->rhport), struct vhci_hcd, vdev);
 }
 
 #endif /* __USBIP_VHCI_H */
index 2e0450b..03eccf2 100644 (file)
@@ -1,5 +1,6 @@
 /*
  * Copyright (C) 2003-2008 Takahiro Hirofuchi
+ * Copyright (C) 2015-2016 Nobuo Iwata
  *
  * This is free software; you can redistribute it and/or modify
  * it under the terms of the GNU General Public License as published by
@@ -56,7 +57,9 @@ static int vhci_get_frame_number(struct usb_hcd *hcd);
 static const char driver_name[] = "vhci_hcd";
 static const char driver_desc[] = "USB/IP Virtual Host Controller";
 
-struct vhci_hcd *the_controller;
+int vhci_num_controllers = VHCI_NR_HCS;
+
+struct platform_device **vhci_pdevs;
 
 static const char * const bit_desc[] = {
        "CONNECTION",           /*0*/
@@ -119,47 +122,59 @@ static void dump_port_status_diff(u32 prev_status, u32 new_status)
        pr_debug("\n");
 }
 
-void rh_port_connect(int rhport, enum usb_device_speed speed)
+void rh_port_connect(struct vhci_device *vdev, enum usb_device_speed speed)
 {
+       struct vhci_hcd *vhci = vdev_to_vhci(vdev);
+       int             rhport = vdev->rhport;
+       u32             status;
        unsigned long   flags;
 
        usbip_dbg_vhci_rh("rh_port_connect %d\n", rhport);
 
-       spin_lock_irqsave(&the_controller->lock, flags);
+       spin_lock_irqsave(&vhci->lock, flags);
+
+       status = vhci->port_status[rhport];
 
-       the_controller->port_status[rhport] |= USB_PORT_STAT_CONNECTION
-               | (1 << USB_PORT_FEAT_C_CONNECTION);
+       status |= USB_PORT_STAT_CONNECTION | (1 << USB_PORT_FEAT_C_CONNECTION);
 
        switch (speed) {
        case USB_SPEED_HIGH:
-               the_controller->port_status[rhport] |= USB_PORT_STAT_HIGH_SPEED;
+               status |= USB_PORT_STAT_HIGH_SPEED;
                break;
        case USB_SPEED_LOW:
-               the_controller->port_status[rhport] |= USB_PORT_STAT_LOW_SPEED;
+               status |= USB_PORT_STAT_LOW_SPEED;
                break;
        default:
                break;
        }
 
-       spin_unlock_irqrestore(&the_controller->lock, flags);
+       vhci->port_status[rhport] = status;
+
+       spin_unlock_irqrestore(&vhci->lock, flags);
 
-       usb_hcd_poll_rh_status(vhci_to_hcd(the_controller));
+       usb_hcd_poll_rh_status(vhci_to_hcd(vhci));
 }
 
-static void rh_port_disconnect(int rhport)
+static void rh_port_disconnect(struct vhci_device *vdev)
 {
+       struct vhci_hcd *vhci = vdev_to_vhci(vdev);
+       int             rhport = vdev->rhport;
+       u32             status;
        unsigned long   flags;
 
        usbip_dbg_vhci_rh("rh_port_disconnect %d\n", rhport);
 
-       spin_lock_irqsave(&the_controller->lock, flags);
+       spin_lock_irqsave(&vhci->lock, flags);
+
+       status = vhci->port_status[rhport];
+
+       status &= ~USB_PORT_STAT_CONNECTION;
+       status |= (1 << USB_PORT_FEAT_C_CONNECTION);
 
-       the_controller->port_status[rhport] &= ~USB_PORT_STAT_CONNECTION;
-       the_controller->port_status[rhport] |=
-                                       (1 << USB_PORT_FEAT_C_CONNECTION);
+       vhci->port_status[rhport] = status;
 
-       spin_unlock_irqrestore(&the_controller->lock, flags);
-       usb_hcd_poll_rh_status(vhci_to_hcd(the_controller));
+       spin_unlock_irqrestore(&vhci->lock, flags);
+       usb_hcd_poll_rh_status(vhci_to_hcd(vhci));
 }
 
 #define PORT_C_MASK                            \
@@ -188,7 +203,7 @@ static int vhci_hub_status(struct usb_hcd *hcd, char *buf)
        int             changed = 0;
        unsigned long   flags;
 
-       retval = DIV_ROUND_UP(VHCI_NPORTS + 1, 8);
+       retval = DIV_ROUND_UP(VHCI_HC_PORTS + 1, 8);
        memset(buf, 0, retval);
 
        vhci = hcd_to_vhci(hcd);
@@ -200,7 +215,7 @@ static int vhci_hub_status(struct usb_hcd *hcd, char *buf)
        }
 
        /* check pseudo status register for each port */
-       for (rhport = 0; rhport < VHCI_NPORTS; rhport++) {
+       for (rhport = 0; rhport < VHCI_HC_PORTS; rhport++) {
                if ((vhci->port_status[rhport] & PORT_C_MASK)) {
                        /* The status of a port has been changed, */
                        usbip_dbg_vhci_rh("port %d status changed\n", rhport);
@@ -225,7 +240,7 @@ static inline void hub_descriptor(struct usb_hub_descriptor *desc)
        desc->bDescLength = 9;
        desc->wHubCharacteristics = cpu_to_le16(
                HUB_CHAR_INDV_PORT_LPSM | HUB_CHAR_COMMON_OCPM);
-       desc->bNbrPorts = VHCI_NPORTS;
+       desc->bNbrPorts = VHCI_HC_PORTS;
        desc->u.hs.DeviceRemovable[0] = 0xff;
        desc->u.hs.DeviceRemovable[1] = 0xff;
 }
@@ -238,7 +253,7 @@ static int vhci_hub_control(struct usb_hcd *hcd, u16 typeReq, u16 wValue,
        int             rhport;
        unsigned long   flags;
 
-       u32 prev_port_status[VHCI_NPORTS];
+       u32 prev_port_status[VHCI_HC_PORTS];
 
        if (!HCD_HW_ACCESSIBLE(hcd))
                return -ETIMEDOUT;
@@ -249,7 +264,7 @@ static int vhci_hub_control(struct usb_hcd *hcd, u16 typeReq, u16 wValue,
         */
        usbip_dbg_vhci_rh("typeReq %x wValue %x wIndex %x\n", typeReq, wValue,
                          wIndex);
-       if (wIndex > VHCI_NPORTS)
+       if (wIndex > VHCI_HC_PORTS)
                pr_err("invalid port number %d\n", wIndex);
        rhport = ((__u8)(wIndex & 0x00ff)) - 1;
 
@@ -315,7 +330,7 @@ static int vhci_hub_control(struct usb_hcd *hcd, u16 typeReq, u16 wValue,
                break;
        case GetPortStatus:
                usbip_dbg_vhci_rh(" GetPortStatus port %x\n", wIndex);
-               if (wIndex > VHCI_NPORTS || wIndex < 1) {
+               if (wIndex > VHCI_HC_PORTS || wIndex < 1) {
                        pr_err("invalid port number %d\n", wIndex);
                        retval = -EPIPE;
                }
@@ -416,14 +431,27 @@ static int vhci_hub_control(struct usb_hcd *hcd, u16 typeReq, u16 wValue,
 
 static struct vhci_device *get_vdev(struct usb_device *udev)
 {
-       int i;
+       struct platform_device *pdev;
+       struct usb_hcd *hcd;
+       struct vhci_hcd *vhci;
+       int pdev_nr, rhport;
 
        if (!udev)
                return NULL;
 
-       for (i = 0; i < VHCI_NPORTS; i++)
-               if (the_controller->vdev[i].udev == udev)
-                       return port_to_vdev(i);
+       for (pdev_nr = 0; pdev_nr < vhci_num_controllers; pdev_nr++) {
+               pdev = *(vhci_pdevs + pdev_nr);
+               if (pdev == NULL)
+                       continue;
+               hcd = platform_get_drvdata(pdev);
+               if (hcd == NULL)
+                       continue;
+               vhci = hcd_to_vhci(hcd);
+               for (rhport = 0; rhport < VHCI_HC_PORTS; rhport++) {
+                       if (vhci->vdev[rhport].udev == udev)
+                               return &vhci->vdev[rhport];
+               }
+       }
 
        return NULL;
 }
@@ -432,6 +460,7 @@ static void vhci_tx_urb(struct urb *urb)
 {
        struct vhci_device *vdev = get_vdev(urb->dev);
        struct vhci_priv *priv;
+       struct vhci_hcd *vhci = vdev_to_vhci(vdev);
        unsigned long flags;
 
        if (!vdev) {
@@ -447,7 +476,7 @@ static void vhci_tx_urb(struct urb *urb)
 
        spin_lock_irqsave(&vdev->priv_lock, flags);
 
-       priv->seqnum = atomic_inc_return(&the_controller->seqnum);
+       priv->seqnum = atomic_inc_return(&vhci->seqnum);
        if (priv->seqnum == 0xffff)
                dev_info(&urb->dev->dev, "seqnum max\n");
 
@@ -465,7 +494,9 @@ static void vhci_tx_urb(struct urb *urb)
 static int vhci_urb_enqueue(struct usb_hcd *hcd, struct urb *urb,
                            gfp_t mem_flags)
 {
+       struct vhci_hcd *vhci = hcd_to_vhci(hcd);
        struct device *dev = &urb->dev->dev;
+       u8 portnum = urb->dev->portnum;
        int ret = 0;
        struct vhci_device *vdev;
        unsigned long flags;
@@ -473,26 +504,30 @@ static int vhci_urb_enqueue(struct usb_hcd *hcd, struct urb *urb,
        usbip_dbg_vhci_hc("enter, usb_hcd %p urb %p mem_flags %d\n",
                          hcd, urb, mem_flags);
 
+       if (portnum > VHCI_HC_PORTS) {
+               pr_err("invalid port number %d\n", portnum);
+               return -ENODEV;
+       }
+       vdev = &vhci->vdev[portnum-1];
+
        /* patch to usb_sg_init() is in 2.5.60 */
        BUG_ON(!urb->transfer_buffer && urb->transfer_buffer_length);
 
-       spin_lock_irqsave(&the_controller->lock, flags);
+       spin_lock_irqsave(&vhci->lock, flags);
 
        if (urb->status != -EINPROGRESS) {
                dev_err(dev, "URB already unlinked!, status %d\n", urb->status);
-               spin_unlock_irqrestore(&the_controller->lock, flags);
+               spin_unlock_irqrestore(&vhci->lock, flags);
                return urb->status;
        }
 
-       vdev = port_to_vdev(urb->dev->portnum-1);
-
        /* refuse enqueue for dead connection */
        spin_lock(&vdev->ud.lock);
        if (vdev->ud.status == VDEV_ST_NULL ||
            vdev->ud.status == VDEV_ST_ERROR) {
                dev_err(dev, "enqueue for inactive port %d\n", vdev->rhport);
                spin_unlock(&vdev->ud.lock);
-               spin_unlock_irqrestore(&the_controller->lock, flags);
+               spin_unlock_irqrestore(&vhci->lock, flags);
                return -ENODEV;
        }
        spin_unlock(&vdev->ud.lock);
@@ -565,17 +600,16 @@ static int vhci_urb_enqueue(struct usb_hcd *hcd, struct urb *urb,
 
 out:
        vhci_tx_urb(urb);
-       spin_unlock_irqrestore(&the_controller->lock, flags);
+       spin_unlock_irqrestore(&vhci->lock, flags);
 
        return 0;
 
 no_need_xmit:
        usb_hcd_unlink_urb_from_ep(hcd, urb);
 no_need_unlink:
-       spin_unlock_irqrestore(&the_controller->lock, flags);
+       spin_unlock_irqrestore(&vhci->lock, flags);
        if (!ret)
-               usb_hcd_giveback_urb(vhci_to_hcd(the_controller),
-                                    urb, urb->status);
+               usb_hcd_giveback_urb(hcd, urb, urb->status);
        return ret;
 }
 
@@ -627,19 +661,20 @@ no_need_unlink:
  */
 static int vhci_urb_dequeue(struct usb_hcd *hcd, struct urb *urb, int status)
 {
+       struct vhci_hcd *vhci = hcd_to_vhci(hcd);
        struct vhci_priv *priv;
        struct vhci_device *vdev;
        unsigned long flags;
 
        pr_info("dequeue a urb %p\n", urb);
 
-       spin_lock_irqsave(&the_controller->lock, flags);
+       spin_lock_irqsave(&vhci->lock, flags);
 
        priv = urb->hcpriv;
        if (!priv) {
                /* URB was never linked! or will be soon given back by
                 * vhci_rx. */
-               spin_unlock_irqrestore(&the_controller->lock, flags);
+               spin_unlock_irqrestore(&vhci->lock, flags);
                return -EIDRM;
        }
 
@@ -648,7 +683,7 @@ static int vhci_urb_dequeue(struct usb_hcd *hcd, struct urb *urb, int status)
 
                ret = usb_hcd_check_unlink_urb(hcd, urb, status);
                if (ret) {
-                       spin_unlock_irqrestore(&the_controller->lock, flags);
+                       spin_unlock_irqrestore(&vhci->lock, flags);
                        return ret;
                }
        }
@@ -676,10 +711,9 @@ static int vhci_urb_dequeue(struct usb_hcd *hcd, struct urb *urb, int status)
 
                usb_hcd_unlink_urb_from_ep(hcd, urb);
 
-               spin_unlock_irqrestore(&the_controller->lock, flags);
-               usb_hcd_giveback_urb(vhci_to_hcd(the_controller), urb,
-                                    urb->status);
-               spin_lock_irqsave(&the_controller->lock, flags);
+               spin_unlock_irqrestore(&vhci->lock, flags);
+               usb_hcd_giveback_urb(vhci_to_hcd(vhci), urb, urb->status);
+               spin_lock_irqsave(&vhci->lock, flags);
 
        } else {
                /* tcp connection is alive */
@@ -691,12 +725,12 @@ static int vhci_urb_dequeue(struct usb_hcd *hcd, struct urb *urb, int status)
                unlink = kzalloc(sizeof(struct vhci_unlink), GFP_ATOMIC);
                if (!unlink) {
                        spin_unlock(&vdev->priv_lock);
-                       spin_unlock_irqrestore(&the_controller->lock, flags);
+                       spin_unlock_irqrestore(&vhci->lock, flags);
                        usbip_event_add(&vdev->ud, VDEV_EVENT_ERROR_MALLOC);
                        return -ENOMEM;
                }
 
-               unlink->seqnum = atomic_inc_return(&the_controller->seqnum);
+               unlink->seqnum = atomic_inc_return(&vhci->seqnum);
                if (unlink->seqnum == 0xffff)
                        pr_info("seqnum max\n");
 
@@ -712,7 +746,7 @@ static int vhci_urb_dequeue(struct usb_hcd *hcd, struct urb *urb, int status)
                spin_unlock(&vdev->priv_lock);
        }
 
-       spin_unlock_irqrestore(&the_controller->lock, flags);
+       spin_unlock_irqrestore(&vhci->lock, flags);
 
        usbip_dbg_vhci_hc("leave\n");
        return 0;
@@ -720,10 +754,12 @@ static int vhci_urb_dequeue(struct usb_hcd *hcd, struct urb *urb, int status)
 
 static void vhci_device_unlink_cleanup(struct vhci_device *vdev)
 {
+       struct vhci_hcd *vhci = vdev_to_vhci(vdev);
+       struct usb_hcd *hcd = vhci_to_hcd(vhci);
        struct vhci_unlink *unlink, *tmp;
        unsigned long flags;
 
-       spin_lock_irqsave(&the_controller->lock, flags);
+       spin_lock_irqsave(&vhci->lock, flags);
        spin_lock(&vdev->priv_lock);
 
        list_for_each_entry_safe(unlink, tmp, &vdev->unlink_tx, list) {
@@ -752,24 +788,23 @@ static void vhci_device_unlink_cleanup(struct vhci_device *vdev)
 
                urb->status = -ENODEV;
 
-               usb_hcd_unlink_urb_from_ep(vhci_to_hcd(the_controller), urb);
+               usb_hcd_unlink_urb_from_ep(hcd, urb);
 
                list_del(&unlink->list);
 
                spin_unlock(&vdev->priv_lock);
-               spin_unlock_irqrestore(&the_controller->lock, flags);
+               spin_unlock_irqrestore(&vhci->lock, flags);
 
-               usb_hcd_giveback_urb(vhci_to_hcd(the_controller), urb,
-                                    urb->status);
+               usb_hcd_giveback_urb(hcd, urb, urb->status);
 
-               spin_lock_irqsave(&the_controller->lock, flags);
+               spin_lock_irqsave(&vhci->lock, flags);
                spin_lock(&vdev->priv_lock);
 
                kfree(unlink);
        }
 
        spin_unlock(&vdev->priv_lock);
-       spin_unlock_irqrestore(&the_controller->lock, flags);
+       spin_unlock_irqrestore(&vhci->lock, flags);
 }
 
 /*
@@ -827,7 +862,7 @@ static void vhci_shutdown_connection(struct usbip_device *ud)
         * is actually given back by vhci_rx after receiving its return pdu.
         *
         */
-       rh_port_disconnect(vdev->rhport);
+       rh_port_disconnect(vdev);
 
        pr_info("disconnect device\n");
 }
@@ -866,7 +901,7 @@ static void vhci_device_unusable(struct usbip_device *ud)
 
 static void vhci_device_init(struct vhci_device *vdev)
 {
-       memset(vdev, 0, sizeof(*vdev));
+       memset(vdev, 0, sizeof(struct vhci_device));
 
        vdev->ud.side   = USBIP_VHCI;
        vdev->ud.status = VDEV_ST_NULL;
@@ -887,17 +922,34 @@ static void vhci_device_init(struct vhci_device *vdev)
        usbip_start_eh(&vdev->ud);
 }
 
+static int hcd_name_to_id(const char *name)
+{
+       char *c;
+       long val;
+       int ret;
+
+       c = strchr(name, '.');
+       if (c == NULL)
+               return 0;
+
+       ret = kstrtol(c+1, 10, &val);
+       if (ret < 0)
+               return ret;
+
+       return val;
+}
+
 static int vhci_start(struct usb_hcd *hcd)
 {
        struct vhci_hcd *vhci = hcd_to_vhci(hcd);
-       int rhport;
+       int id, rhport;
        int err = 0;
 
        usbip_dbg_vhci_hc("enter vhci_start\n");
 
        /* initialize private data of usb_hcd */
 
-       for (rhport = 0; rhport < VHCI_NPORTS; rhport++) {
+       for (rhport = 0; rhport < VHCI_HC_PORTS; rhport++) {
                struct vhci_device *vdev = &vhci->vdev[rhport];
 
                vhci_device_init(vdev);
@@ -910,11 +962,26 @@ static int vhci_start(struct usb_hcd *hcd)
        hcd->power_budget = 0; /* no limit */
        hcd->uses_new_polling = 1;
 
+       id = hcd_name_to_id(hcd_name(hcd));
+       if (id < 0) {
+               pr_err("invalid vhci name %s\n", hcd_name(hcd));
+               return -EINVAL;
+       }
+
        /* vhci_hcd is now ready to be controlled through sysfs */
-       err = sysfs_create_group(&vhci_dev(vhci)->kobj, &dev_attr_group);
-       if (err) {
-               pr_err("create sysfs files\n");
-               return err;
+       if (id == 0) {
+               err = vhci_init_attr_group();
+               if (err) {
+                       pr_err("init attr group\n");
+                       return err;
+               }
+               err = sysfs_create_group(&hcd_dev(hcd)->kobj, &vhci_attr_group);
+               if (err) {
+                       pr_err("create sysfs files\n");
+                       vhci_finish_attr_group();
+                       return err;
+               }
+               pr_info("created sysfs %s\n", hcd_name(hcd));
        }
 
        return 0;
@@ -923,15 +990,19 @@ static int vhci_start(struct usb_hcd *hcd)
 static void vhci_stop(struct usb_hcd *hcd)
 {
        struct vhci_hcd *vhci = hcd_to_vhci(hcd);
-       int rhport = 0;
+       int id, rhport;
 
        usbip_dbg_vhci_hc("stop VHCI controller\n");
 
        /* 1. remove the userland interface of vhci_hcd */
-       sysfs_remove_group(&vhci_dev(vhci)->kobj, &dev_attr_group);
+       id = hcd_name_to_id(hcd_name(hcd));
+       if (id == 0) {
+               sysfs_remove_group(&hcd_dev(hcd)->kobj, &vhci_attr_group);
+               vhci_finish_attr_group();
+       }
 
        /* 2. shutdown all the ports of vhci_hcd */
-       for (rhport = 0; rhport < VHCI_NPORTS; rhport++) {
+       for (rhport = 0; rhport < VHCI_HC_PORTS; rhport++) {
                struct vhci_device *vdev = &vhci->vdev[rhport];
 
                usbip_event_add(&vdev->ud, VDEV_EVENT_REMOVED);
@@ -1025,9 +1096,6 @@ static int vhci_hcd_probe(struct platform_device *pdev)
        }
        hcd->has_tt = 1;
 
-       /* this is private data for vhci_hcd */
-       the_controller = hcd_to_vhci(hcd);
-
        /*
         * Finish generic HCD structure initialization and register.
         * Call the driver's reset() and start() routines.
@@ -1036,7 +1104,6 @@ static int vhci_hcd_probe(struct platform_device *pdev)
        if (ret != 0) {
                pr_err("usb_add_hcd failed %d\n", ret);
                usb_put_hcd(hcd);
-               the_controller = NULL;
                return ret;
        }
 
@@ -1059,7 +1126,6 @@ static int vhci_hcd_remove(struct platform_device *pdev)
         */
        usb_remove_hcd(hcd);
        usb_put_hcd(hcd);
-       the_controller = NULL;
 
        return 0;
 }
@@ -1070,21 +1136,24 @@ static int vhci_hcd_remove(struct platform_device *pdev)
 static int vhci_hcd_suspend(struct platform_device *pdev, pm_message_t state)
 {
        struct usb_hcd *hcd;
-       int rhport = 0;
+       struct vhci_hcd *vhci;
+       int rhport;
        int connected = 0;
        int ret = 0;
        unsigned long flags;
 
        hcd = platform_get_drvdata(pdev);
+       if (!hcd)
+               return 0;
+       vhci = hcd_to_vhci(hcd);
 
-       spin_lock_irqsave(&the_controller->lock, flags);
+       spin_lock_irqsave(&vhci->lock, flags);
 
-       for (rhport = 0; rhport < VHCI_NPORTS; rhport++)
-               if (the_controller->port_status[rhport] &
-                   USB_PORT_STAT_CONNECTION)
+       for (rhport = 0; rhport < VHCI_HC_PORTS; rhport++)
+               if (vhci->port_status[rhport] & USB_PORT_STAT_CONNECTION)
                        connected += 1;
 
-       spin_unlock_irqrestore(&the_controller->lock, flags);
+       spin_unlock_irqrestore(&vhci->lock, flags);
 
        if (connected > 0) {
                dev_info(&pdev->dev,
@@ -1106,6 +1175,8 @@ static int vhci_hcd_resume(struct platform_device *pdev)
        dev_dbg(&pdev->dev, "%s\n", __func__);
 
        hcd = platform_get_drvdata(pdev);
+       if (!hcd)
+               return 0;
        set_bit(HCD_FLAG_HW_ACCESSIBLE, &hcd->flags);
        usb_hcd_poll_rh_status(hcd);
 
@@ -1129,52 +1200,78 @@ static struct platform_driver vhci_driver = {
        },
 };
 
-/*
- * The VHCI 'device' is 'virtual'; not a real plug&play hardware.
- * We need to add this virtual device as a platform device arbitrarily:
- *     1. platform_device_register()
- */
-static void the_pdev_release(struct device *dev)
+static int add_platform_device(int id)
 {
+       struct platform_device *pdev;
+       int dev_nr;
+
+       if (id == 0)
+               dev_nr = -1;
+       else
+               dev_nr = id;
+
+       pdev = platform_device_register_simple(driver_name, dev_nr, NULL, 0);
+       if (IS_ERR(pdev))
+               return PTR_ERR(pdev);
+
+       *(vhci_pdevs + id) = pdev;
+       return 0;
 }
 
-static struct platform_device the_pdev = {
-       /* should be the same name as driver_name */
-       .name = driver_name,
-       .id = -1,
-       .dev = {
-               .release = the_pdev_release,
-       },
-};
+static void del_platform_devices(void)
+{
+       struct platform_device *pdev;
+       int i;
+
+       for (i = 0; i < vhci_num_controllers; i++) {
+               pdev = *(vhci_pdevs + i);
+               if (pdev != NULL)
+                       platform_device_unregister(pdev);
+               *(vhci_pdevs + i) = NULL;
+       }
+       sysfs_remove_link(&platform_bus.kobj, driver_name);
+}
 
 static int __init vhci_hcd_init(void)
 {
-       int ret;
+       int i, ret;
 
        if (usb_disabled())
                return -ENODEV;
 
+       if (vhci_num_controllers < 1)
+               vhci_num_controllers = 1;
+
+       vhci_pdevs = kcalloc(vhci_num_controllers, sizeof(void *), GFP_KERNEL);
+       if (vhci_pdevs == NULL)
+               return -ENOMEM;
+
        ret = platform_driver_register(&vhci_driver);
        if (ret)
                goto err_driver_register;
 
-       ret = platform_device_register(&the_pdev);
-       if (ret)
-               goto err_platform_device_register;
+       for (i = 0; i < vhci_num_controllers; i++) {
+               ret = add_platform_device(i);
+               if (ret)
+                       goto err_platform_device_register;
+       }
 
        pr_info(DRIVER_DESC " v" USBIP_VERSION "\n");
        return ret;
 
 err_platform_device_register:
+       del_platform_devices();
        platform_driver_unregister(&vhci_driver);
 err_driver_register:
+       kfree(vhci_pdevs);
        return ret;
 }
 
 static void __exit vhci_hcd_exit(void)
 {
-       platform_device_unregister(&the_pdev);
+       del_platform_devices();
        platform_driver_unregister(&vhci_driver);
+       kfree(vhci_pdevs);
 }
 
 module_init(vhci_hcd_init);
index d656e0e..fc2d319 100644 (file)
@@ -70,6 +70,7 @@ struct urb *pickup_urb_and_free_priv(struct vhci_device *vdev, __u32 seqnum)
 static void vhci_recv_ret_submit(struct vhci_device *vdev,
                                 struct usbip_header *pdu)
 {
+       struct vhci_hcd *vhci = vdev_to_vhci(vdev);
        struct usbip_device *ud = &vdev->ud;
        struct urb *urb;
        unsigned long flags;
@@ -81,7 +82,7 @@ static void vhci_recv_ret_submit(struct vhci_device *vdev,
        if (!urb) {
                pr_err("cannot find a urb of seqnum %u\n", pdu->base.seqnum);
                pr_info("max seqnum %d\n",
-                       atomic_read(&the_controller->seqnum));
+                       atomic_read(&vhci->seqnum));
                usbip_event_add(ud, VDEV_EVENT_ERROR_TCP);
                return;
        }
@@ -105,11 +106,11 @@ static void vhci_recv_ret_submit(struct vhci_device *vdev,
 
        usbip_dbg_vhci_rx("now giveback urb %p\n", urb);
 
-       spin_lock_irqsave(&the_controller->lock, flags);
-       usb_hcd_unlink_urb_from_ep(vhci_to_hcd(the_controller), urb);
-       spin_unlock_irqrestore(&the_controller->lock, flags);
+       spin_lock_irqsave(&vhci->lock, flags);
+       usb_hcd_unlink_urb_from_ep(vhci_to_hcd(vhci), urb);
+       spin_unlock_irqrestore(&vhci->lock, flags);
 
-       usb_hcd_giveback_urb(vhci_to_hcd(the_controller), urb, urb->status);
+       usb_hcd_giveback_urb(vhci_to_hcd(vhci), urb, urb->status);
 
        usbip_dbg_vhci_rx("Leave\n");
 }
@@ -142,6 +143,7 @@ static struct vhci_unlink *dequeue_pending_unlink(struct vhci_device *vdev,
 static void vhci_recv_ret_unlink(struct vhci_device *vdev,
                                 struct usbip_header *pdu)
 {
+       struct vhci_hcd *vhci = vdev_to_vhci(vdev);
        struct vhci_unlink *unlink;
        struct urb *urb;
        unsigned long flags;
@@ -174,12 +176,11 @@ static void vhci_recv_ret_unlink(struct vhci_device *vdev,
                urb->status = pdu->u.ret_unlink.status;
                pr_info("urb->status %d\n", urb->status);
 
-               spin_lock_irqsave(&the_controller->lock, flags);
-               usb_hcd_unlink_urb_from_ep(vhci_to_hcd(the_controller), urb);
-               spin_unlock_irqrestore(&the_controller->lock, flags);
+               spin_lock_irqsave(&vhci->lock, flags);
+               usb_hcd_unlink_urb_from_ep(vhci_to_hcd(vhci), urb);
+               spin_unlock_irqrestore(&vhci->lock, flags);
 
-               usb_hcd_giveback_urb(vhci_to_hcd(the_controller), urb,
-                                    urb->status);
+               usb_hcd_giveback_urb(vhci_to_hcd(vhci), urb, urb->status);
        }
 
        kfree(unlink);
index 5b5462e..c404017 100644 (file)
@@ -1,5 +1,6 @@
 /*
  * Copyright (C) 2003-2008 Takahiro Hirofuchi
+ * Copyright (C) 2015-2016 Nobuo Iwata
  *
  * This is free software; you can redistribute it and/or modify
  * it under the terms of the GNU General Public License as published by
@@ -20,6 +21,8 @@
 #include <linux/kthread.h>
 #include <linux/file.h>
 #include <linux/net.h>
+#include <linux/platform_device.h>
+#include <linux/slab.h>
 
 #include "usbip_common.h"
 #include "vhci.h"
 /* TODO: refine locking ?*/
 
 /* Sysfs entry to show port status */
-static ssize_t status_show(struct device *dev, struct device_attribute *attr,
-                          char *out)
+static ssize_t status_show_vhci(int pdev_nr, char *out)
 {
+       struct platform_device *pdev = *(vhci_pdevs + pdev_nr);
+       struct vhci_hcd *vhci;
        char *s = out;
        int i = 0;
        unsigned long flags;
 
-       BUG_ON(!the_controller || !out);
+       if (!pdev || !out) {
+               usbip_dbg_vhci_sysfs("show status error\n");
+               return 0;
+       }
+
+       vhci = hcd_to_vhci(platform_get_drvdata(pdev));
 
-       spin_lock_irqsave(&the_controller->lock, flags);
+       spin_lock_irqsave(&vhci->lock, flags);
 
        /*
         * output example:
-        * prt sta spd dev socket           local_busid
-        * 000 004 000 000         c5a7bb80 1-2.3
-        * 001 004 000 000         d8cee980 2-3.4
+        * port sta spd dev      socket           local_busid
+        * 0000 004 000 00000000         c5a7bb80 1-2.3
+        * 0001 004 000 00000000         d8cee980 2-3.4
         *
         * IP address can be retrieved from a socket pointer address by looking
         * up /proc/net/{tcp,tcp6}. Also, a userland program may remember a
         * port number and its peer IP address.
         */
-       out += sprintf(out,
-                      "prt sta spd bus dev socket           local_busid\n");
-
-       for (i = 0; i < VHCI_NPORTS; i++) {
-               struct vhci_device *vdev = port_to_vdev(i);
+       for (i = 0; i < VHCI_HC_PORTS; i++) {
+               struct vhci_device *vdev = &vhci->vdev[i];
 
                spin_lock(&vdev->ud.lock);
-               out += sprintf(out, "%03u %03u ", i, vdev->ud.status);
+               out += sprintf(out, "%04u %03u ",
+                                   (pdev_nr * VHCI_HC_PORTS) + i,
+                                   vdev->ud.status);
 
                if (vdev->ud.status == VDEV_ST_USED) {
                        out += sprintf(out, "%03u %08x ",
-                                      vdev->speed, vdev->devid);
-                       out += sprintf(out, "%16p ", vdev->ud.tcp_socket);
-                       out += sprintf(out, "%s", dev_name(&vdev->udev->dev));
+                                           vdev->speed, vdev->devid);
+                       out += sprintf(out, "%16p %s",
+                                           vdev->ud.tcp_socket,
+                                           dev_name(&vdev->udev->dev));
 
                } else {
-                       out += sprintf(out, "000 000 000 0000000000000000 0-0");
+                       out += sprintf(out, "000 00000000 ");
+                       out += sprintf(out, "0000000000000000 0-0");
                }
 
                out += sprintf(out, "\n");
                spin_unlock(&vdev->ud.lock);
        }
 
-       spin_unlock_irqrestore(&the_controller->lock, flags);
+       spin_unlock_irqrestore(&vhci->lock, flags);
+
+       return out - s;
+}
+
+static ssize_t status_show_not_ready(int pdev_nr, char *out)
+{
+       char *s = out;
+       int i = 0;
+
+       for (i = 0; i < VHCI_HC_PORTS; i++) {
+               out += sprintf(out, "%04u %03u ",
+                                   (pdev_nr * VHCI_HC_PORTS) + i,
+                                   VDEV_ST_NOTASSIGNED);
+               out += sprintf(out, "000 00000000 0000000000000000 0-0");
+               out += sprintf(out, "\n");
+       }
+       return out - s;
+}
+
+static int status_name_to_id(const char *name)
+{
+       char *c;
+       long val;
+       int ret;
+
+       c = strchr(name, '.');
+       if (c == NULL)
+               return 0;
 
+       ret = kstrtol(c+1, 10, &val);
+       if (ret < 0)
+               return ret;
+
+       return val;
+}
+
+static ssize_t status_show(struct device *dev,
+                          struct device_attribute *attr, char *out)
+{
+       char *s = out;
+       int pdev_nr;
+
+       out += sprintf(out,
+                      "port sta spd dev      socket           local_busid\n");
+
+       pdev_nr = status_name_to_id(attr->attr.name);
+       if (pdev_nr < 0)
+               out += status_show_not_ready(pdev_nr, out);
+       else
+               out += status_show_vhci(pdev_nr, out);
+
+       return out - s;
+}
+
+static ssize_t nports_show(struct device *dev, struct device_attribute *attr,
+                          char *out)
+{
+       char *s = out;
+
+       out += sprintf(out, "%d\n", VHCI_HC_PORTS * vhci_num_controllers);
        return out - s;
 }
-static DEVICE_ATTR_RO(status);
+static DEVICE_ATTR_RO(nports);
 
 /* Sysfs entry to shutdown a virtual connection */
-static int vhci_port_disconnect(__u32 rhport)
+static int vhci_port_disconnect(struct vhci_hcd *vhci, __u32 rhport)
 {
-       struct vhci_device *vdev;
+       struct vhci_device *vdev = &vhci->vdev[rhport];
        unsigned long flags;
 
        usbip_dbg_vhci_sysfs("enter\n");
 
        /* lock */
-       spin_lock_irqsave(&the_controller->lock, flags);
-
-       vdev = port_to_vdev(rhport);
-
+       spin_lock_irqsave(&vhci->lock, flags);
        spin_lock(&vdev->ud.lock);
+
        if (vdev->ud.status == VDEV_ST_NULL) {
                pr_err("not connected %d\n", vdev->ud.status);
 
                /* unlock */
                spin_unlock(&vdev->ud.lock);
-               spin_unlock_irqrestore(&the_controller->lock, flags);
+               spin_unlock_irqrestore(&vhci->lock, flags);
 
                return -EINVAL;
        }
 
        /* unlock */
        spin_unlock(&vdev->ud.lock);
-       spin_unlock_irqrestore(&the_controller->lock, flags);
+       spin_unlock_irqrestore(&vhci->lock, flags);
 
        usbip_event_add(&vdev->ud, VDEV_EVENT_DOWN);
 
        return 0;
 }
 
+static int valid_port(__u32 pdev_nr, __u32 rhport)
+{
+       if (pdev_nr >= vhci_num_controllers) {
+               pr_err("pdev %u\n", pdev_nr);
+               return 0;
+       }
+       if (rhport >= VHCI_HC_PORTS) {
+               pr_err("rhport %u\n", rhport);
+               return 0;
+       }
+       return 1;
+}
+
 static ssize_t store_detach(struct device *dev, struct device_attribute *attr,
                            const char *buf, size_t count)
 {
-       int err;
-       __u32 rhport = 0;
+       __u32 port = 0, pdev_nr = 0, rhport = 0;
+       struct usb_hcd *hcd;
+       int ret;
 
-       if (sscanf(buf, "%u", &rhport) != 1)
+       if (kstrtoint(buf, 10, &port) < 0)
                return -EINVAL;
 
-       /* check rhport */
-       if (rhport >= VHCI_NPORTS) {
-               dev_err(dev, "invalid port %u\n", rhport);
+       pdev_nr = port_to_pdev_nr(port);
+       rhport = port_to_rhport(port);
+
+       if (!valid_port(pdev_nr, rhport))
                return -EINVAL;
+
+       hcd = platform_get_drvdata(*(vhci_pdevs + pdev_nr));
+       if (hcd == NULL) {
+               dev_err(dev, "port is not ready %u\n", port);
+               return -EAGAIN;
        }
 
-       err = vhci_port_disconnect(rhport);
-       if (err < 0)
+       ret = vhci_port_disconnect(hcd_to_vhci(hcd), rhport);
+       if (ret < 0)
                return -EINVAL;
 
        usbip_dbg_vhci_sysfs("Leave\n");
@@ -135,16 +222,12 @@ static ssize_t store_detach(struct device *dev, struct device_attribute *attr,
 }
 static DEVICE_ATTR(detach, S_IWUSR, NULL, store_detach);
 
-/* Sysfs entry to establish a virtual connection */
-static int valid_args(__u32 rhport, enum usb_device_speed speed)
+static int valid_args(__u32 pdev_nr, __u32 rhport, enum usb_device_speed speed)
 {
-       /* check rhport */
-       if (rhport >= VHCI_NPORTS) {
-               pr_err("port %u\n", rhport);
-               return -EINVAL;
+       if (!valid_port(pdev_nr, rhport)) {
+               return 0;
        }
 
-       /* check speed */
        switch (speed) {
        case USB_SPEED_LOW:
        case USB_SPEED_FULL:
@@ -154,12 +237,13 @@ static int valid_args(__u32 rhport, enum usb_device_speed speed)
        default:
                pr_err("Failed attach request for unsupported USB speed: %s\n",
                        usb_speed_string(speed));
-               return -EINVAL;
+               return 0;
        }
 
-       return 0;
+       return 1;
 }
 
+/* Sysfs entry to establish a virtual connection */
 /*
  * To start a new USB/IP attachment, a userland program needs to setup a TCP
  * connection and then write its socket descriptor with remote device
@@ -174,10 +258,12 @@ static int valid_args(__u32 rhport, enum usb_device_speed speed)
 static ssize_t store_attach(struct device *dev, struct device_attribute *attr,
                            const char *buf, size_t count)
 {
-       struct vhci_device *vdev;
        struct socket *socket;
        int sockfd = 0;
-       __u32 rhport = 0, devid = 0, speed = 0;
+       __u32 port = 0, pdev_nr = 0, rhport = 0, devid = 0, speed = 0;
+       struct usb_hcd *hcd;
+       struct vhci_hcd *vhci;
+       struct vhci_device *vdev;
        int err;
        unsigned long flags;
 
@@ -187,16 +273,28 @@ static ssize_t store_attach(struct device *dev, struct device_attribute *attr,
         * @devid: unique device identifier in a remote host
         * @speed: usb device speed in a remote host
         */
-       if (sscanf(buf, "%u %u %u %u", &rhport, &sockfd, &devid, &speed) != 4)
+       if (sscanf(buf, "%u %u %u %u", &port, &sockfd, &devid, &speed) != 4)
                return -EINVAL;
+       pdev_nr = port_to_pdev_nr(port);
+       rhport = port_to_rhport(port);
 
-       usbip_dbg_vhci_sysfs("rhport(%u) sockfd(%u) devid(%u) speed(%u)\n",
-                            rhport, sockfd, devid, speed);
+       usbip_dbg_vhci_sysfs("port(%u) pdev(%d) rhport(%u)\n",
+                            port, pdev_nr, rhport);
+       usbip_dbg_vhci_sysfs("sockfd(%u) devid(%u) speed(%u)\n",
+                            sockfd, devid, speed);
 
        /* check received parameters */
-       if (valid_args(rhport, speed) < 0)
+       if (!valid_args(pdev_nr, rhport, speed))
                return -EINVAL;
 
+       hcd = platform_get_drvdata(*(vhci_pdevs + pdev_nr));
+       if (hcd == NULL) {
+               dev_err(dev, "port %d is not ready\n", port);
+               return -EAGAIN;
+       }
+       vhci = hcd_to_vhci(hcd);
+       vdev = &vhci->vdev[rhport];
+
        /* Extract socket from fd. */
        socket = sockfd_lookup(sockfd, &err);
        if (!socket)
@@ -205,14 +303,13 @@ static ssize_t store_attach(struct device *dev, struct device_attribute *attr,
        /* now need lock until setting vdev status as used */
 
        /* begin a lock */
-       spin_lock_irqsave(&the_controller->lock, flags);
-       vdev = port_to_vdev(rhport);
+       spin_lock_irqsave(&vhci->lock, flags);
        spin_lock(&vdev->ud.lock);
 
        if (vdev->ud.status != VDEV_ST_NULL) {
                /* end of the lock */
                spin_unlock(&vdev->ud.lock);
-               spin_unlock_irqrestore(&the_controller->lock, flags);
+               spin_unlock_irqrestore(&vhci->lock, flags);
 
                sockfd_put(socket);
 
@@ -220,9 +317,10 @@ static ssize_t store_attach(struct device *dev, struct device_attribute *attr,
                return -EINVAL;
        }
 
-       dev_info(dev,
-                "rhport(%u) sockfd(%d) devid(%u) speed(%u) speed_str(%s)\n",
-                rhport, sockfd, devid, speed, usb_speed_string(speed));
+       dev_info(dev, "pdev(%u) rhport(%u) sockfd(%d)\n",
+                pdev_nr, rhport, sockfd);
+       dev_info(dev, "devid(%u) speed(%u) speed_str(%s)\n",
+                devid, speed, usb_speed_string(speed));
 
        vdev->devid         = devid;
        vdev->speed         = speed;
@@ -230,26 +328,92 @@ static ssize_t store_attach(struct device *dev, struct device_attribute *attr,
        vdev->ud.status     = VDEV_ST_NOTASSIGNED;
 
        spin_unlock(&vdev->ud.lock);
-       spin_unlock_irqrestore(&the_controller->lock, flags);
+       spin_unlock_irqrestore(&vhci->lock, flags);
        /* end the lock */
 
        vdev->ud.tcp_rx = kthread_get_run(vhci_rx_loop, &vdev->ud, "vhci_rx");
        vdev->ud.tcp_tx = kthread_get_run(vhci_tx_loop, &vdev->ud, "vhci_tx");
 
-       rh_port_connect(rhport, speed);
+       rh_port_connect(vdev, speed);
 
        return count;
 }
 static DEVICE_ATTR(attach, S_IWUSR, NULL, store_attach);
 
-static struct attribute *dev_attrs[] = {
-       &dev_attr_status.attr,
-       &dev_attr_detach.attr,
-       &dev_attr_attach.attr,
-       &dev_attr_usbip_debug.attr,
-       NULL,
+#define MAX_STATUS_NAME 16
+
+struct status_attr {
+       struct device_attribute attr;
+       char name[MAX_STATUS_NAME+1];
 };
 
-const struct attribute_group dev_attr_group = {
-       .attrs = dev_attrs,
+static struct status_attr *status_attrs;
+
+static void set_status_attr(int id)
+{
+       struct status_attr *status;
+
+       status = status_attrs + id;
+       if (id == 0)
+               strcpy(status->name, "status");
+       else
+               snprintf(status->name, MAX_STATUS_NAME+1, "status.%d", id);
+       status->attr.attr.name = status->name;
+       status->attr.attr.mode = S_IRUGO;
+       status->attr.show = status_show;
+}
+
+static int init_status_attrs(void)
+{
+       int id;
+
+       status_attrs = kcalloc(vhci_num_controllers, sizeof(struct status_attr),
+                              GFP_KERNEL);
+       if (status_attrs == NULL)
+               return -ENOMEM;
+
+       for (id = 0; id < vhci_num_controllers; id++)
+               set_status_attr(id);
+
+       return 0;
+}
+
+static void finish_status_attrs(void)
+{
+       kfree(status_attrs);
+}
+
+struct attribute_group vhci_attr_group = {
+       .attrs = NULL,
 };
+
+int vhci_init_attr_group(void)
+{
+       struct attribute **attrs;
+       int ret, i;
+
+       attrs = kcalloc((vhci_num_controllers + 5), sizeof(struct attribute *),
+                       GFP_KERNEL);
+       if (attrs == NULL)
+               return -ENOMEM;
+
+       ret = init_status_attrs();
+       if (ret) {
+               kfree(attrs);
+               return ret;
+       }
+       *attrs = &dev_attr_nports.attr;
+       *(attrs + 1) = &dev_attr_detach.attr;
+       *(attrs + 2) = &dev_attr_attach.attr;
+       *(attrs + 3) = &dev_attr_usbip_debug.attr;
+       for (i = 0; i < vhci_num_controllers; i++)
+               *(attrs + i + 4) = &((status_attrs + i)->attr.attr);
+       vhci_attr_group.attrs = attrs;
+       return 0;
+}
+
+void vhci_finish_attr_group(void)
+{
+       finish_status_attrs();
+       kfree(vhci_attr_group.attrs);
+}
index 8994a13..7091848 100644 (file)
@@ -450,7 +450,7 @@ static void vudc_shutdown(struct usbip_device *ud)
        if (ud->tcp_socket)
                kernel_sock_shutdown(ud->tcp_socket, SHUT_RDWR);
 
-       if (ud->tcp_tx) {
+       if (ud->tcp_rx) {
                kthread_stop_put(ud->tcp_rx);
                ud->tcp_rx = NULL;
        }
index 344bd94..e429b59 100644 (file)
@@ -142,7 +142,7 @@ static int v_recv_cmd_submit(struct vudc *udc,
        urb_p->urb->status = -EINPROGRESS;
 
        /* FIXME: more pipe setup to please usbip_common */
-       urb_p->urb->pipe &= ~(11 << 30);
+       urb_p->urb->pipe &= ~(3 << 30);
        switch (urb_p->ep->type) {
        case USB_ENDPOINT_XFER_BULK:
                urb_p->urb->pipe |= (PIPE_BULK << 30);
index da1b872..fb70cbe 100644 (file)
@@ -610,8 +610,7 @@ static int cbaf_probe(struct usb_interface *iface,
        cbaf->usb_iface = usb_get_intf(iface);
        result = cbaf_check(cbaf);
        if (result < 0) {
-               dev_err(dev, "This device is not WUSB-CBAF compliant"
-                       "and is not supported yet.\n");
+               dev_err(dev, "This device is not WUSB-CBAF compliant and is not supported yet.\n");
                goto error_check;
        }
 
index 33acd15..79b2b62 100644 (file)
@@ -229,10 +229,8 @@ static int wusb_ccm_mac(struct crypto_skcipher *tfm_cbc,
                zero_padding = sizeof(struct aes_ccm_block) - zero_padding;
        dst_size = blen + sizeof(b0) + sizeof(b1) + zero_padding;
        dst_buf = kzalloc(dst_size, GFP_KERNEL);
-       if (dst_buf == NULL) {
-               printk(KERN_ERR "E: can't alloc destination buffer\n");
+       if (!dst_buf)
                goto error_dst_buf;
-       }
 
        memset(iv, 0, sizeof(iv));
 
index b66faaf..8c9421b 100644 (file)
@@ -374,10 +374,8 @@ int wusb_dev_4way_handshake(struct wusbhc *wusbhc, struct wusb_dev *wusb_dev,
        struct wusb_keydvt_out keydvt_out;
 
        hs = kcalloc(3, sizeof(hs[0]), GFP_KERNEL);
-       if (hs == NULL) {
-               dev_err(dev, "can't allocate handshake data\n");
+       if (!hs)
                goto error_kzalloc;
-       }
 
        /* We need to turn encryption before beginning the 4way
         * hshake (WUSB1.0[.3.2.2]) */
index 60a10d2..ed46222 100644 (file)
@@ -271,16 +271,11 @@ int wa_nep_create(struct wahc *wa, struct usb_interface *iface)
        epd = &iface->cur_altsetting->endpoint[0].desc;
        wa->nep_buffer_size = 1024;
        wa->nep_buffer = kmalloc(wa->nep_buffer_size, GFP_KERNEL);
-       if (wa->nep_buffer == NULL) {
-               dev_err(dev,
-                       "Unable to allocate notification's read buffer\n");
+       if (!wa->nep_buffer)
                goto error_nep_buffer;
-       }
        wa->nep_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (wa->nep_urb == NULL) {
-               dev_err(dev, "Unable to allocate notification URB\n");
+       if (wa->nep_urb == NULL)
                goto error_urb_alloc;
-       }
        usb_fill_int_urb(wa->nep_urb, usb_dev,
                         usb_rcvintpipe(usb_dev, epd->bEndpointAddress),
                         wa->nep_buffer, wa->nep_buffer_size,
index 69af4fd..167fcc7 100644 (file)
@@ -2865,10 +2865,8 @@ int wa_dti_start(struct wahc *wa)
                goto out;
 
        wa->dti_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (wa->dti_urb == NULL) {
-               dev_err(dev, "Can't allocate DTI URB\n");
+       if (wa->dti_urb == NULL)
                goto error_dti_urb_alloc;
-       }
        usb_fill_bulk_urb(
                wa->dti_urb, wa->usb_dev,
                usb_rcvbulkpipe(wa->usb_dev, 0x80 | dti_epd->bEndpointAddress),
index 0257f35..0aa6c3c 100644 (file)
@@ -701,10 +701,8 @@ static int hwarc_neep_init(struct uwb_rc *rc)
                goto error_rd_buffer;
        }
        hwarc->neep_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (hwarc->neep_urb == NULL) {
-               dev_err(dev, "Unable to allocate notification URB\n");
+       if (hwarc->neep_urb == NULL)
                goto error_urb_alloc;
-       }
        usb_fill_int_urb(hwarc->neep_urb, usb_dev,
                         usb_rcvintpipe(usb_dev, epd->bEndpointAddress),
                         hwarc->rd_buffer, PAGE_SIZE,
index 68952d9..99ebf6e 100644 (file)
@@ -666,10 +666,8 @@ static int usb_pcwd_probe(struct usb_interface *interface,
 
        /* allocate the urb's */
        usb_pcwd->intr_urb = usb_alloc_urb(0, GFP_KERNEL);
-       if (!usb_pcwd->intr_urb) {
-               pr_err("Out of memory\n");
+       if (!usb_pcwd->intr_urb)
                goto error;
-       }
 
        /* initialise the intr urb's */
        usb_fill_int_urb(usb_pcwd->intr_urb, udev, pipe,
index ebd5c1f..4901fb3 100644 (file)
@@ -10,6 +10,7 @@
 #define  BCMA_CLKCTLST_HAVEALPREQ      0x00000008 /* ALP available request */
 #define  BCMA_CLKCTLST_HAVEHTREQ       0x00000010 /* HT available request */
 #define  BCMA_CLKCTLST_HWCROFF         0x00000020 /* Force HW clock request off */
+#define  BCMA_CLKCTLST_HQCLKREQ                0x00000040 /* HQ Clock */
 #define  BCMA_CLKCTLST_EXTRESREQ       0x00000700 /* Mask of external resource requests */
 #define  BCMA_CLKCTLST_EXTRESREQ_SHIFT 8
 #define  BCMA_CLKCTLST_HAVEALP         0x00010000 /* ALP available */
index 6100441..b871c0c 100644 (file)
 
 #include <linux/device.h>
 
+/*
+ * Define the type of supported external connectors
+ */
+#define EXTCON_TYPE_USB                BIT(0)  /* USB connector */
+#define EXTCON_TYPE_CHG                BIT(1)  /* Charger connector */
+#define EXTCON_TYPE_JACK       BIT(2)  /* Jack connector */
+#define EXTCON_TYPE_DISP       BIT(3)  /* Display connector */
+#define EXTCON_TYPE_MISC       BIT(4)  /* Miscellaneous connector */
+
 /*
  * Define the unique id of supported external connectors
  */
@@ -44,6 +53,7 @@
 #define EXTCON_CHG_USB_ACA     8       /* Accessory Charger Adapter */
 #define EXTCON_CHG_USB_FAST    9
 #define EXTCON_CHG_USB_SLOW    10
+#define EXTCON_CHG_WPT         11      /* Wireless Power Transfer */
 
 /* Jack external connector */
 #define EXTCON_JACK_MICROPHONE 20
@@ -60,6 +70,8 @@
 #define EXTCON_DISP_MHL                41      /* Mobile High-Definition Link */
 #define EXTCON_DISP_DVI                42      /* Digital Visual Interface */
 #define EXTCON_DISP_VGA                43      /* Video Graphics Array */
+#define EXTCON_DISP_DP         44      /* Display Port */
+#define EXTCON_DISP_HMD                45      /* Head-Mounted Display */
 
 /* Miscellaneous external connector */
 #define EXTCON_DOCK            60
 
 #define EXTCON_NUM             63
 
+/*
+ * Define the property of supported external connectors.
+ *
+ * When adding the new extcon property, they *must* have
+ * the type/value/default information. Also, you *have to*
+ * modify the EXTCON_PROP_[type]_START/END definitions
+ * which mean the range of the supported properties
+ * for each extcon type.
+ *
+ * The naming style of property
+ * : EXTCON_PROP_[type]_[property name]
+ *
+ * EXTCON_PROP_USB_[property name]     : USB property
+ * EXTCON_PROP_CHG_[property name]     : Charger property
+ * EXTCON_PROP_JACK_[property name]    : Jack property
+ * EXTCON_PROP_DISP_[property name]    : Display property
+ */
+
+/*
+ * Properties of EXTCON_TYPE_USB.
+ *
+ * - EXTCON_PROP_USB_VBUS
+ * @type:      integer (intval)
+ * @value:     0 (low) or 1 (high)
+ * @default:   0 (low)
+ * - EXTCON_PROP_USB_TYPEC_POLARITY
+ * @type:      integer (intval)
+ * @value:     0 (normal) or 1 (flip)
+ * @default:   0 (normal)
+ * - EXTCON_PROP_USB_SS (SuperSpeed)
+ * @type:       integer (intval)
+ * @value:      0 (USB/USB2) or 1 (USB3)
+ * @default:    0 (USB/USB2)
+ *
+ */
+#define EXTCON_PROP_USB_VBUS           0
+#define EXTCON_PROP_USB_TYPEC_POLARITY 1
+#define EXTCON_PROP_USB_SS             2
+
+#define EXTCON_PROP_USB_MIN            0
+#define EXTCON_PROP_USB_MAX            2
+#define EXTCON_PROP_USB_CNT    (EXTCON_PROP_USB_MAX - EXTCON_PROP_USB_MIN + 1)
+
+/* Properties of EXTCON_TYPE_CHG. */
+#define EXTCON_PROP_CHG_MIN            50
+#define EXTCON_PROP_CHG_MAX            50
+#define EXTCON_PROP_CHG_CNT    (EXTCON_PROP_CHG_MAX - EXTCON_PROP_CHG_MIN + 1)
+
+/* Properties of EXTCON_TYPE_JACK. */
+#define EXTCON_PROP_JACK_MIN           100
+#define EXTCON_PROP_JACK_MAX           100
+#define EXTCON_PROP_JACK_CNT (EXTCON_PROP_JACK_MAX - EXTCON_PROP_JACK_MIN + 1)
+
+/*
+ * Properties of EXTCON_TYPE_DISP.
+ *
+ * - EXTCON_PROP_DISP_HPD (Hot Plug Detect)
+ * @type:       integer (intval)
+ * @value:      0 (no hpd) or 1 (hpd)
+ * @default:    0 (no hpd)
+ *
+ */
+#define EXTCON_PROP_DISP_HPD           150
+
+/* Properties of EXTCON_TYPE_DISP. */
+#define EXTCON_PROP_DISP_MIN           150
+#define EXTCON_PROP_DISP_MAX           151
+#define EXTCON_PROP_DISP_CNT (EXTCON_PROP_DISP_MAX - EXTCON_PROP_DISP_MIN + 1)
+
+/*
+ * Define the type of property's value.
+ *
+ * Define the property's value as union type. Because each property
+ * would need the different data type to store it.
+ */
+union extcon_property_value {
+       int intval;     /* type : integer (intval) */
+};
+
 struct extcon_cable;
 
 /**
@@ -150,26 +241,42 @@ extern struct extcon_dev *devm_extcon_dev_allocate(struct device *dev,
 extern void devm_extcon_dev_free(struct device *dev, struct extcon_dev *edev);
 
 /*
- * get/set/update_state access the 32b encoded state value, which represents
- * states of all possible cables of the multistate port. For example, if one
- * calls extcon_set_state(edev, 0x7), it may mean that all the three cables
- * are attached to the port.
+ * get/set_state access each bit of the 32b encoded state value.
+ * They are used to access the status of each cable based on the cable id.
  */
-static inline u32 extcon_get_state(struct extcon_dev *edev)
-{
-       return edev->state;
-}
+extern int extcon_get_state(struct extcon_dev *edev, unsigned int id);
+extern int extcon_set_state(struct extcon_dev *edev, unsigned int id,
+                                  bool cable_state);
+extern int extcon_set_state_sync(struct extcon_dev *edev, unsigned int id,
+                               bool cable_state);
+/*
+ * Synchronize the state and property data for a specific external connector.
+ */
+extern int extcon_sync(struct extcon_dev *edev, unsigned int id);
 
-extern int extcon_set_state(struct extcon_dev *edev, u32 state);
-extern int extcon_update_state(struct extcon_dev *edev, u32 mask, u32 state);
+/*
+ * get/set_property access the property value of each external connector.
+ * They are used to access the property of each cable based on the property id.
+ */
+extern int extcon_get_property(struct extcon_dev *edev, unsigned int id,
+                               unsigned int prop,
+                               union extcon_property_value *prop_val);
+extern int extcon_set_property(struct extcon_dev *edev, unsigned int id,
+                               unsigned int prop,
+                               union extcon_property_value prop_val);
+extern int extcon_set_property_sync(struct extcon_dev *edev, unsigned int id,
+                               unsigned int prop,
+                               union extcon_property_value prop_val);
 
 /*
- * get/set_cable_state access each bit of the 32b encoded state value.
- * They are used to access the status of each cable based on the cable id.
+ * get/set_property_capability set the capability of the property for each
+ * external connector. They are used to set the capability of the property
+ * of each external connector based on the id and property.
  */
-extern int extcon_get_cable_state_(struct extcon_dev *edev, unsigned int id);
-extern int extcon_set_cable_state_(struct extcon_dev *edev, unsigned int id,
-                                  bool cable_state);
+extern int extcon_get_property_capability(struct extcon_dev *edev,
+                               unsigned int id, unsigned int prop);
+extern int extcon_set_property_capability(struct extcon_dev *edev,
+                               unsigned int id, unsigned int prop);
 
 /*
  * Following APIs are to monitor every action of a notifier.
@@ -232,30 +339,57 @@ static inline struct extcon_dev *devm_extcon_dev_allocate(struct device *dev,
 
 static inline void devm_extcon_dev_free(struct extcon_dev *edev) { }
 
-static inline u32 extcon_get_state(struct extcon_dev *edev)
+
+static inline int extcon_get_state(struct extcon_dev *edev, unsigned int id)
+{
+       return 0;
+}
+
+static inline int extcon_set_state(struct extcon_dev *edev, unsigned int id,
+                               bool cable_state)
+{
+       return 0;
+}
+
+static inline int extcon_set_state_sync(struct extcon_dev *edev, unsigned int id,
+                               bool cable_state)
 {
        return 0;
 }
 
-static inline int extcon_set_state(struct extcon_dev *edev, u32 state)
+static inline int extcon_sync(struct extcon_dev *edev, unsigned int id)
 {
        return 0;
 }
 
-static inline int extcon_update_state(struct extcon_dev *edev, u32 mask,
-                                      u32 state)
+static inline int extcon_get_property(struct extcon_dev *edev, unsigned int id,
+                                       unsigned int prop,
+                                       union extcon_property_value *prop_val)
+{
+       return 0;
+}
+static inline int extcon_set_property(struct extcon_dev *edev, unsigned int id,
+                                       unsigned int prop,
+                                       union extcon_property_value prop_val)
 {
        return 0;
 }
 
-static inline int extcon_get_cable_state_(struct extcon_dev *edev,
-                                         unsigned int id)
+static inline int extcon_set_property_sync(struct extcon_dev *edev,
+                                       unsigned int id, unsigned int prop,
+                                       union extcon_property_value prop_val)
 {
        return 0;
 }
 
-static inline int extcon_set_cable_state_(struct extcon_dev *edev,
-                                         unsigned int id, bool cable_state)
+static inline int extcon_get_property_capability(struct extcon_dev *edev,
+                                       unsigned int id, unsigned int prop)
+{
+       return 0;
+}
+
+static inline int extcon_set_property_capability(struct extcon_dev *edev,
+                                       unsigned int id, unsigned int prop)
 {
        return 0;
 }
@@ -320,4 +454,15 @@ static inline int extcon_unregister_interest(struct extcon_specific_cable_nb
 {
        return -EINVAL;
 }
+
+static inline int extcon_get_cable_state_(struct extcon_dev *edev, unsigned int id)
+{
+       return extcon_get_state(edev, id);
+}
+
+static inline int extcon_set_cable_state_(struct extcon_dev *edev, unsigned int id,
+                                  bool cable_state)
+{
+       return extcon_set_state_sync(edev, id, cable_state);
+}
 #endif /* __LINUX_EXTCON_H__ */
index ac85f20..a0e03b1 100644 (file)
@@ -20,8 +20,8 @@
 
 /**
  * struct adc_jack_cond - condition to use an extcon state
- * @state:             the corresponding extcon state (if 0, this struct
  *                     denotes the last adc_jack_cond element among the array)
+ * @id:                        the unique id of each external connector
  * @min_adc:           min adc value for this condition
  * @max_adc:           max adc value for this condition
  *
@@ -33,7 +33,7 @@
  * because when no adc_jack_cond is met, state = 0 is automatically chosen.
  */
 struct adc_jack_cond {
-       u32 state;      /* extcon state value. 0 if invalid */
+       unsigned int id;
        u32 min_adc;
        u32 max_adc;
 };
index f08b672..ee1bed7 100644 (file)
@@ -36,6 +36,7 @@ enum phy_mode {
  * @power_on: powering on the phy
  * @power_off: powering off the phy
  * @set_mode: set the mode of the phy
+ * @reset: resetting the phy
  * @owner: the module owner containing the ops
  */
 struct phy_ops {
@@ -44,6 +45,7 @@ struct phy_ops {
        int     (*power_on)(struct phy *phy);
        int     (*power_off)(struct phy *phy);
        int     (*set_mode)(struct phy *phy, enum phy_mode mode);
+       int     (*reset)(struct phy *phy);
        struct module *owner;
 };
 
@@ -136,6 +138,7 @@ int phy_exit(struct phy *phy);
 int phy_power_on(struct phy *phy);
 int phy_power_off(struct phy *phy);
 int phy_set_mode(struct phy *phy, enum phy_mode mode);
+int phy_reset(struct phy *phy);
 static inline int phy_get_bus_width(struct phy *phy)
 {
        return phy->attrs.bus_width;
index 388f6e0..a7af21a 100644 (file)
@@ -15,7 +15,7 @@ struct ulpi_ops;
  */
 struct ulpi {
        struct ulpi_device_id id;
-       struct ulpi_ops *ops;
+       const struct ulpi_ops *ops;
        struct device dev;
 };
 
@@ -47,7 +47,11 @@ struct ulpi_driver {
 
 #define to_ulpi_driver(d) container_of(d, struct ulpi_driver, driver)
 
-int ulpi_register_driver(struct ulpi_driver *drv);
+/*
+ * use a macro to avoid include chaining to get THIS_MODULE
+ */
+#define ulpi_register_driver(drv) __ulpi_register_driver(drv, THIS_MODULE)
+int __ulpi_register_driver(struct ulpi_driver *drv, struct module *module);
 void ulpi_unregister_driver(struct ulpi_driver *drv);
 
 #define module_ulpi_driver(__ulpi_driver) \
index 4de8ab4..a2011a9 100644 (file)
@@ -4,20 +4,19 @@
 #include <linux/types.h>
 
 struct ulpi;
+struct device;
 
 /**
  * struct ulpi_ops - ULPI register access
- * @dev: the interface provider
  * @read: read operation for ULPI register access
  * @write: write operation for ULPI register access
  */
 struct ulpi_ops {
-       struct device *dev;
-       int (*read)(struct ulpi_ops *ops, u8 addr);
-       int (*write)(struct ulpi_ops *ops, u8 addr, u8 val);
+       int (*read)(struct device *dev, u8 addr);
+       int (*write)(struct device *dev, u8 addr, u8 val);
 };
 
-struct ulpi *ulpi_register_interface(struct device *, struct ulpi_ops *);
+struct ulpi *ulpi_register_interface(struct device *, const struct ulpi_ops *);
 void ulpi_unregister_interface(struct ulpi *);
 
 #endif /* __LINUX_ULPI_INTERFACE_H */
index 2b81b24..4616a49 100644 (file)
@@ -220,7 +220,8 @@ struct usb_function {
        int                     (*setup)(struct usb_function *,
                                        const struct usb_ctrlrequest *);
        bool                    (*req_match)(struct usb_function *,
-                                       const struct usb_ctrlrequest *);
+                                       const struct usb_ctrlrequest *,
+                                       bool config0);
        void                    (*suspend)(struct usb_function *);
        void                    (*resume)(struct usb_function *);
 
index 612dbdf..8e81f9e 100644 (file)
@@ -346,6 +346,8 @@ struct usb_gadget_ops {
  *     or B-Peripheral wants to take host role.
  * @quirk_ep_out_aligned_size: epout requires buffer size to be aligned to
  *     MaxPacketSize.
+ * @quirk_avoids_skb_reserve: udc/platform wants to avoid skb_reserve() in
+ *     u_ether.c to improve performance.
  * @is_selfpowered: if the gadget is self-powered.
  * @deactivated: True if gadget is deactivated - in deactivated state it cannot
  *     be connected.
@@ -398,6 +400,7 @@ struct usb_gadget {
        unsigned                        quirk_altset_not_supp:1;
        unsigned                        quirk_stall_not_supp:1;
        unsigned                        quirk_zlp_not_supp:1;
+       unsigned                        quirk_avoids_skb_reserve:1;
        unsigned                        is_selfpowered:1;
        unsigned                        deactivated:1;
        unsigned                        connected:1;
@@ -417,9 +420,21 @@ static inline struct usb_gadget *dev_to_usb_gadget(struct device *dev)
 #define gadget_for_each_ep(tmp, gadget) \
        list_for_each_entry(tmp, &(gadget)->ep_list, ep_list)
 
+/**
+ * usb_ep_align - returns @len aligned to ep's maxpacketsize.
+ * @ep: the endpoint whose maxpacketsize is used to align @len
+ * @len: buffer size's length to align to @ep's maxpacketsize
+ *
+ * This helper is used to align buffer's size to an ep's maxpacketsize.
+ */
+static inline size_t usb_ep_align(struct usb_ep *ep, size_t len)
+{
+       return round_up(len, (size_t)le16_to_cpu(ep->desc->wMaxPacketSize));
+}
+
 /**
  * usb_ep_align_maybe - returns @len aligned to ep's maxpacketsize if gadget
- *     requires quirk_ep_out_aligned_size, otherwise reguens len.
+ *     requires quirk_ep_out_aligned_size, otherwise returns len.
  * @g: controller to check for quirk
  * @ep: the endpoint whose maxpacketsize is used to align @len
  * @len: buffer size's length to align to @ep's maxpacketsize
@@ -430,8 +445,7 @@ static inline struct usb_gadget *dev_to_usb_gadget(struct device *dev)
 static inline size_t
 usb_ep_align_maybe(struct usb_gadget *g, struct usb_ep *ep, size_t len)
 {
-       return !g->quirk_ep_out_aligned_size ? len :
-                       round_up(len, (size_t)ep->desc->wMaxPacketSize);
+       return g->quirk_ep_out_aligned_size ? usb_ep_align(ep, len) : len;
 }
 
 /**
@@ -462,6 +476,16 @@ static inline int gadget_is_zlp_supported(struct usb_gadget *g)
        return !g->quirk_zlp_not_supp;
 }
 
+/**
+ * gadget_avoids_skb_reserve - return true iff the hardware would like to avoid
+ *     skb_reserve to improve performance.
+ * @g: controller to check for quirk
+ */
+static inline int gadget_avoids_skb_reserve(struct usb_gadget *g)
+{
+       return g->quirk_avoids_skb_reserve;
+}
+
 /**
  * gadget_is_dualspeed - return true iff the hardware handles high speed
  * @g: controller that might support both high and full speeds
index 245f57d..0aae1b2 100644 (file)
@@ -81,6 +81,8 @@
                /* Sets max_sectors to 240 */                   \
        US_FLAG(NO_REPORT_LUNS, 0x10000000)                     \
                /* Cannot handle REPORT_LUNS */                 \
+       US_FLAG(ALWAYS_SYNC, 0x20000000)                        \
+               /* lies about caching, so always sync */        \
 
 #define US_FLAG(name, value)   US_FL_##name = value ,
 enum { US_DO_ALL_FLAGS };
index 2f9bb98..506ea8f 100644 (file)
 #ifndef _LINUX_MFD_SYSCON_ATMEL_SFR_H
 #define _LINUX_MFD_SYSCON_ATMEL_SFR_H
 
+#define AT91_SFR_DDRCFG                0x04    /* DDR Configuration Register */
+/* 0x08 ~ 0x0c: Reserved */
+#define AT91_SFR_OHCIICR       0x10    /* OHCI INT Configuration Register */
+#define AT91_SFR_OHCIISR       0x14    /* OHCI INT Status Register */
 #define AT91_SFR_I2SCLKSEL     0x90    /* I2SC Register */
 
+/* Field definitions */
+#define AT91_OHCIICR_SUSPEND_A BIT(8)
+#define AT91_OHCIICR_SUSPEND_B BIT(9)
+#define AT91_OHCIICR_SUSPEND_C BIT(10)
+
+#define AT91_OHCIICR_USB_SUSPEND       (AT91_OHCIICR_SUSPEND_A | \
+                                        AT91_OHCIICR_SUSPEND_B | \
+                                        AT91_OHCIICR_SUSPEND_C)
+
+
 #endif /* _LINUX_MFD_SYSCON_ATMEL_SFR_H */
index 108dd79..acc6369 100644 (file)
@@ -21,6 +21,8 @@ enum functionfs_flags {
        FUNCTIONFS_HAS_MS_OS_DESC = 8,
        FUNCTIONFS_VIRTUAL_ADDR = 16,
        FUNCTIONFS_EVENTFD = 32,
+       FUNCTIONFS_ALL_CTRL_RECIP = 64,
+       FUNCTIONFS_CONFIG0_SETUP = 128,
 };
 
 /* Descriptor of an non-audio endpoint */